SlideShare a Scribd company logo
1 of 52
NANOFABRICATION
TECHNIQUES AND
PHOTORESIST MATERIALS
BY
DENISH M D
KARTHIKA K N
RINCHU KURIAKOSE
SHAFEEK
WHY NANO?
• Chemistry – higher reactivity.
High surface area – good for chemical and biochemical sensors.
Higher sensitivity and faster response time.
High level of reaction control.
• Material – better mechanical strength.
Prolong equipment life time.
• Physics – quantum confined phenomena and designed physical properties.
High density magnetic storage.
Near field optics
NANO MANIPULATION
• Key enabling technology which fills gad between the top-down & bottom-up strategies.
• Nano-manipulation is applied to scientific exploration of mesoscopic phenomena & the
construction of prototype nano-devices.
• Fundamental technology for property characterization of nano devices and building of nano-
building blocks.
MICRO & NANOFABRICATION METHODS
• Revolutionized pharmaceutical, medical fields, etc…
• Highly reproducible mass - fabrication of systems with complex geometries.
• Micro & nanofabrication :
 Photolithography
 Soft lithography
 Film deposition
 Etching
 Bonding
 Rapid prototyping
 X-ray
 Colloidal monolayer
 Focused ion beam lithography
PHOTOLITHOGRAPHY
• Process That transfers shapes from a template onto a surface using light
• Used in micro applications.
• Photolithography can be broken into photo (light), litho(stone), graphy (write)
• Alphonse Poitevin invented photolithography in 1855 by incorporating light with lithography
PROCESS
The modern process used today make use of different steps, tools and different chemicals
• Cleaning (using hydrogen peroxide)
• Silicon deposition (to act as a masking film)
• Spin coating (wafers are covered with photoresist)
• extra photoresist are removed to obtain a flat surface
• A mask is kept on top of the photoresist (causing a chemical change on the surface of photoresist)
• Development of material (washing away of photoresist)
• Etching (removal of unprotected silicon)
• Photoresist cleaning (removal of insoluble photoresist)
• Polishing(removal of excess silicon dioxide)
• Repeat the process to obtain different layers of coating
• Removal of silicon dioxide using chemicals
ELECTRONIC BEAM
• It is a mature Technology that evolved from scanning electron microscope in 1960’s
• It is the practice of emitting a beam of electron in a patterned Fashion across a surface covered
with a film (resist) and selectively removing either exposed or non exposed region of resist.
• Direct write electron beam machines operates directly from design data and are capable of sub-
micrometer pattern definition.
SCHEMATIC DIAGRAM OF ELECTRONIC
BEAM
• Electron beam exposes the resist where it strikes (ie) electron breaks the molecules of the resist and so
locally changes its characteristics in such a way that subsequent development as remove selectively the
exposed (positive resist) or unexposed (negative resist) part.
• Electron beam is used in micro elastic fabrication to create a mask in result that can be employed in any
of several ways to create pattern on substrate.
• Mask consist of a thin and low atomic number membrane and a high atomic number metal scattering.
ELECTRON GUN
LENS
• Lower resolution system can use
thermionic sources usually formed
from Lab6
• System with higher resolution
requirement need to use field
electron emission sources such as
tungsten.
MAGNETIC LENS
• It is a device used for focusing or deflection of moving charge particle such as
electrons or ions by the use of magnetic Lorentz force.
PROCESS
• Selection of clean sample (remove oil organics etc.)
• Spin coating of photoresist on surface of film (positive or negative resist)
• Developing of film
• Etching
• Evaporate metal contacts
substrate
film
ADVANTAGES
• Ability to register accurately over small area of a wafer.
• Low defect densities
• Direct generation of pattern from circuit design data
DISADVANTAGES
• Low throughput
• High capital cost
NANOLITHOGRAPHY
• Nanolithography is the branch of nanotechnology concerned with the study and application of
fabricating nanometer-scale structures, meaning patterns with at least one lateral dimension
between 1 and 100 nm
• It comes from the Greek word “nanos” meaning dwarf and “graphene” meaning to write.
• It is a very active area of research in academia and in industry.
WORKING
• It used for further fabrications of nanometer materials and molecules.
• Two of most important instruments used in the nanolithography processing are
1. Scanning Probe Microscope (SPM)
2. Atomic Force Microscope (ATM).
TYPES
• Optical nanolithography (EUV)
• X-ray Nanolithography
• Electron beam lithography
• Nanoimprint lithography (NIL)
• Multiphoton lithography
• Scanning probe lithography
• Charged-particle lithography
• Neutral Particle Lithography
• Atomic Force Microscopic Nanolithography
1. OPTICAL NANOLITHOGRAPHY
• Traditional method of lithography
• It is a technique for patterning the various surfaces and have the capability for producing sub patterns up-to to
100 nm with minor wave lengths.
• Optical nanolithography requires the use of liquid immersion and resolution host.
• Most cost effective method of lithography.
2. X RAY NANOLITHOGRAPHY
• It is quite different from traditional X-ray lithography.
• It has the ability to improve and extend optical resolution of 15 nm by using the short wavelengths of 1 nm for
the illumination.
• This is performed by printing approach and is used for batch processing.
• It consists of 3 elements-A mask consisting of a pattern made with an X ray absorbing material on a thin
xray transparent membrane, an X ray source, an X ray sensitive resist material
• STEPS-
1 Irradiation-The first step in x- ray lithography is irradiation which involves exposing a thick layer of resist
to high-energy beam of x-rays from a synchrotron. The mask membrane is normally a low atomic number
material such as diamond, beryllium, or a thin membrane of a higher atomic number material such as silicon
or silicon carbide.
2. Development-In this step the pattern is etched into the resist substrate by the use of x- rays.
3. Electroforming-Electroforming is the same as electroplating. Electroforming suggests that the plating is
used to create an actual metal component.
4. Mould insert-A chemical solvent PMMA (poly methyl methacrylate) C5O2H8 is used to dissolve material,
resulting model of the mask pattern. After removal of the resist, a freestanding metal structure is produced.
5. Mould filling-The metal structure may be a final product, or serve as a mold insert for precision plastic
moulding.
6. Mould release-The plastic mold retains the same shape, size, and form as the original resist structure but
is produced quickly. Moulded plastic parts may then be final product.
Schematic diagram of X ray nanolithography
ADVANTAGES
Short wavelength from X-rays 0.4-4 nm
No diffraction effect
Simple to use
No lens
Faster than EBL
DISADVANTAGES
Distortion in absorber
Cannot be focused through lens
Masks are expensive to produce
3. ELECTRON BEAM LITHOGRAPHY
• It is the most famous nanolithography method which makes use of electron beam to draw a pattern.
• It is mostly used in the polymers to obtain different patterns of polymeric structures
4. MULTIPHOTON LITHOGRAPHY
• It is also known as direct laser lithography or direct laser writing
• Structuring is accomplished by illuminating negative-tone or positive-tone photoresists via light of a well-
defined wavelength.
• This method relies on a multi-photon absorption process in a material that is transparent at the
wavelength of the laser used for creating the pattern.
• It patterns surfaces without the use of a photomask whereby two-photon absorption is utilized to induce
a change in the solubility of the resist.
• By scanning and properly modulating the laser, a chemical change (usually polymerization) occurs at
the focal spot of the laser and can be controlled to create an arbitrary three-dimensional periodic or non-
periodic pattern
• This method has been used for rapid prototyping of structures with fine features.
5. NANOIMPRINT LITHOGROPHY
• Patterns are created by mechanical deformation of imprint resist, typically a monomer or polymer
formulation that is cured by heat or UV light during imprinting.
• It is a technique that combines the speed of optical lithography with the resolution of EBL.
Special stamps contain the nanoscale designs to be
fabricated on the substrate fig: a).
The stamps are pressed into a polymeric material (resist)
that was previously deposited on the substrate fig: b).
When the stamp is filled with polymer, it is treated by
UV light through the stamp, obtaining the stamps shape
fig: c).
A residual layer of resist is left and can be removed fig:
d).
A metal layer can be deposited on the sample as
shown in fig: e)
When the resist is removed, the nanoscale metal
structures are left on the substrate fig: f).
6. SCANNING PROBE LITHOGRAPHY
• It is a tool for patterning at the nanometer-scale down to individual atoms using scanning probes
• It is used to create nanostructures on a substrate of interest by delivering collections of molecules thiols
(are the sulfur equivalent of alcohols, and the word is a combination of "thio "+"alcohol,“) via capillary
transport from an AFM tip to a surface.
• In DPN the tip of AFM cantilever as a “pen,” which is coated with chemical compound acting as an “ink,”
and put in contact with substrate, the “paper”
• Molecular ink diffuses from a nanoscale tip to a surface through a water meniscus
• Used to fabricate DNA and protein
arrays.
7. CHARGED PARTICLE LITHOGRAPHY
• This technique also has the capability of producing high resoultion patterns and deals with the broad
beam of ions and can also produce patterns having very high resolution.
• Broad beam of ion have highly charged particles which when hit the surface designs a specific
desired pattern.
8. NEUTRAL PARTICLE LITHOGRAPHY
• Neutral particle lithography is another technique which is also know as NPL.
• It make use of wide beam of active neutral particles for producing high resolution patterns and images.
9. ATOMIC FORCE MICROSCOPIC LITHOGRAPHY
• AFM nanolithography is a tool for materials structuring and designing with nanometre precision, AFM
was invented in 1986.
• AFM can be used to study both insulating and conducting materials, and can be operated in liquid, air or
vacuum
• AFM nanolithography design a wide range of materials including metals, semiconductors, polymers and
biological molecules in different media
• It is a method having chemical and mechanical properties and is commonly called as chemomechanical
surface patterning method.
• This technique uses atomic force microscope for the image generation.
• The working principle of AFM nanolithography is based on the interaction between the probe and substrate.
• In AFM a tiny cantilever with a sharp tip is scanned across a surface. The interaction between the surface
and the tip cause the cantilever to bend and the bending is monitored using a laser beam.
• In this way, nanometre changes in height can be measured and used to generate a three dimensional image
of the surface.
APPLICATIONS
Nanolithography is used in the manufacture of multigate devices such as
• Field effect transistors (FET),
• Quantum dots
• Nanowires, Gratings
• Zone plates and Photomasks
• Nanoelectromechanical systems (NEMS), or semiconductor integrated circuits
SOFT LITHOGRAPHY
• Soft lithography is a family of techniques for fabricating or replicating structures using "elastomeric
stamps, moulds, and conformable photomasks".
• It represents a non-photolithographic strategy based on selfassembly and replica molding for
carrying out micro and nanofabrication
• It is called "soft" because it uses elastomeric materials, most notably PDMS (polydimethylsiloxane)
• Other elastomers used are polyurethanes, polyimides, and cross-linked Novolac resins
• Soft lithography is generally used to construct features measured on the 30 nm to 100 µm.
• An elastomeric block with patterned relief structures on its surface is the key to soft lithography
Fabrication of PDMS stamp
TYPES
• Near-Field Phase Shift Lithography
• Replica Molding
• Micromolding in Capillaries
• Solvent-assisted Microcontact Molding
• Microtransfer molding
• Microcontact Printing
1. Near-Field Phase Shift Lithography
• A transparent PDMS phase mask with relief on its surface is placed in conformal contact with a layer of
photoresist.
• Light passing through the stamp is modulated in the near-field. If the relief on the surface of the stamp shifts
the phase of light , a node in the intensity is produced.
• Features with dimensions between 40 and 100 nm are produced in photoresist at each phase edge.
2. Replica Molding
• Replica molding is an efficient method for the duplication of the information (i.e. shape, morphology, and
structure) present in the surface of a mold .
• A PDMS stamp is cast against a conventionally patterned master.
• Polyurethane is then molded against the secondary PDMS master.
• In this way, multiple copies can be made without damaging the original master. The technique can replicate
features as small as 30 nm
Schematic diagram of replica molding
3. Micromolding in Capillaries (MIMIC)
• Continuous channels are formed when a PDMS stamp is brought into conformal contact with a solid
substrate.
• Capillary action fills the channels with a polymer precursor. The polymer is cured and the stamp is
removed.
• MIMIC is able to generate features down to 1 µm in size
4. Solvent-assisted Microcontact Molding
• A small amount of solvent is spread on a patterned PDMS stamp and the stamp is placed on a polymer,
such as photoresist.
• The solvent swells the polymer and causes it to expand to fill the surface relief of the stamp
5. Microtransfer Molding
• A thin layer of liquid prepolymer is applied to the patterned surface of a PDMS mold and the excess
liquid is removed by scraping with a flat PDMS block or by blowing off with a stream of nitrogen
• It is then placed on a substrate. The material is cured and the stamp is removed.
• The technique generates features as small as 250 nm and is able to generate multilayer systems
6. Microcontact Printing
• It uses the relief pattern on the surface of a PDMS stamp to form patterns of self-assembled
monolayers (SAMs) on the surfaces of substrates by contact.
• An "ink" of alkanethiols is spread on a patterned PDMS stamp.
• The stamp is then brought into contact with the substrate, which can range from coinage metals to
oxide layers.
• The thiol ink is transferred to the substrate where it forms a self-assembled monolayer that can act as
a resist against etching.
• Features as small as 300 nm have been made in this way
Schematic diagram of microcontact printing
APPLICATIONS
• Applications of soft lithography in the near future could include simple optical devices, such as
Polarizers
Filters
 wire grids
 Surface acoustic wave (SAW) devices .
• Longer term goals include working toward optical data storage systems, flat panel displays, and
quantum devices.
• Soft lithographic techniques are currently not competitive with conventional photolithography for
multilayer fabrication where there are critical requirements for pattern regularity.
ADVANTAGES
Soft lithography has some unique advantages over other forms of lithography (such
as photolithography and electron beam lithography). They include the following:
 Lower cost than traditional photolithography in mass production
 Well-suited for applications in biotechnology
 Well-suited for applications in plastic electronics
 Well-suited for applications involving large or nonplanar (nonflat) surfaces
 More pattern-transferring methods than traditional lithography techniques (more "ink" options)
 Does not need a photo-reactive surface to create a nanostructure
 Smaller details than photolithography in laboratory settings (~30 nm vs ~100 nm). The resolution
depends on the mask used and can reach 6 nm
LIMITATIONS
• Distortion currently limits soft lithographic techniques to the fabrication of single-layer structures
and devices. Studies are also underway to quantify the distortion in elastomeric stamps and to
limit this distortion by using thick stamps and rigid backings.
• The formation and distribution of defects also must be controlled if these techniques are to be
used in device fabrication.
• Presence of a thin film of polymer under the nanometer-sized features in certain of the soft
lithographic methods like microtransfer molding.
PHOTORESIST MATERIALS
Photoresists are light-sensitive material particularly used in microelectronics and
microsystems technologies for the fabrication of µm- and sub-µm structures. All
resist provides a broad range of different resist types which cover a wide range of
applications.
POSITIVE AND NEGATIVE PHOTORESIST
• Positive Photoresist
It is a type of photoresist in which the portion of the photoresist that is exposed to light becomes soluble to
the photoresist developer. The unexposed portion remains insoluble to the photoresist developer
• Negative Photoresist
It is a type of photoresist in which the portion of the photoresist that is exposed to light becomes insoluble
to the photoresist developer. The unexposed portion of the photoresist is dissolved by the photoresist
developer.
TYPES OF PHOTORESIST
Based on the chemical structure of photoresists, they can be classified into three types
1. Photopolymeric Photoresist
A type of photoresist, usually allyl monomer, which could generate free radical when exposed to light,
then initiates the photo polymerization of monomer to produce a polymer.
usually used for negative photoresist
e.g. methyl methacrylate.
2. Photo decomposable Photoresist
Generates hydrophilic product under light. They are usually used for positive photoresist. Eg.azide
quinone
3. Photocrosslinking Photoresist
It is a type of photoresist, which could crosslink chain by chain when exposed to light, to generate an
insoluble network. They are usually used for negative photoresist.
PARAMETERS FOR PHOTORESIST
• Resolution
Resolution is defined as the ability to differ the neighboring features on the substrate. Critical
dimension (CD) is a main measure of resolution.
The smaller the critical dimension, higher the resolution
• Sensitivity
Sensitivity is defined as the minimum energy that is required to generate a well-defined feature in the
photoresist on the substrate.
• Viscosity
Viscosity is defined as a quantity of the photoresist, expressing the magnitude of internal friction.
When it is needed to produce a thicker layer, the photoresist with higher viscosity will be preferred.
• Adherence
Adherence is defined as the adhesive strength between photoresist and substrate. The inefficient
adherence of photoresist to substrate may lead to the deformation of the generated features.
• Anti-etching
Anti-etching is defined as the ability of a photo resist to resist the high temperature, different pH
environment etc.
• Contrast
Contrast is defined as the gradiance from exposure portion to unexposure portion..
APPLICATIONS
• Microcontact Printing
In this techniques, an elastomeric stamp is used to generate two-dimensional patterns, through printing the
“ink” molecules onto the surface of a solid substrate.
• Fabrication of printed circuit boards (PCB)
applying photoresist, exposing image to ultraviolet rays, and then etching using iron chloride, cupric
chloride or an alkaline ammonia etching solution to remove the copper-clad substrate.
• Patterning and etching of substrates
This includes specialty photonics materials, Micro-Electro-Mechanical Systems (MEMS), glass printed
circuit boards, and other micro patterning tasks. Photoresist tends not to be etched by solutions with a
pH greater than 3.
• Microelectronics
This application, mainly applied to silicon wafers/silicon integrated circuits is the most developed of the
technologies and the most specialized in the field.
THANK YOU!!!!

More Related Content

What's hot

Chemical vapour deposition
Chemical vapour depositionChemical vapour deposition
Chemical vapour depositionSethu Ram
 
laser ablation and pyrolysis for micro machining and nano fabrication
laser ablation and pyrolysis for micro machining and nano fabricationlaser ablation and pyrolysis for micro machining and nano fabrication
laser ablation and pyrolysis for micro machining and nano fabricationJAISMON FRANCIS
 
Electron beam lithography
Electron beam lithographyElectron beam lithography
Electron beam lithographyRohan Deokar
 
Quantum Dots and its applications
Quantum Dots and its applicationsQuantum Dots and its applications
Quantum Dots and its applicationsArun Kumar
 
Top-Down and Bottom_Up Approches
Top-Down  and Bottom_Up ApprochesTop-Down  and Bottom_Up Approches
Top-Down and Bottom_Up ApprochesDileep Banjare
 
Introduction to Properties of nanomaterials
Introduction to Properties of nanomaterialsIntroduction to Properties of nanomaterials
Introduction to Properties of nanomaterialsMD AHAD ALI
 
Synthesis of nanoparticles- physical,chemical and biological
Synthesis of nanoparticles- physical,chemical and biologicalSynthesis of nanoparticles- physical,chemical and biological
Synthesis of nanoparticles- physical,chemical and biologicalPriya Nanda
 
Carbon containing Nanomaterials: Fullerenes & Carbon nanotubes
Carbon containing Nanomaterials: Fullerenes & Carbon nanotubesCarbon containing Nanomaterials: Fullerenes & Carbon nanotubes
Carbon containing Nanomaterials: Fullerenes & Carbon nanotubesMayur D. Chauhan
 
nanomaterial and dimensional effect
nanomaterial and dimensional effect nanomaterial and dimensional effect
nanomaterial and dimensional effect sameerr98
 
Nanowires presentation
Nanowires presentationNanowires presentation
Nanowires presentationTam Van Tran
 
TYPES OF NANOMATERIAL
TYPES OF NANOMATERIALTYPES OF NANOMATERIAL
TYPES OF NANOMATERIALNehaSingla51
 
Synthesis of nanomaterials by arju
Synthesis of nanomaterials by arjuSynthesis of nanomaterials by arju
Synthesis of nanomaterials by arjuArjun kumar
 
AFM and STM (Scanning probe microscopy)
AFM and STM (Scanning probe microscopy)AFM and STM (Scanning probe microscopy)
AFM and STM (Scanning probe microscopy)Preeti Choudhary
 
Self assembled nanostructures
Self assembled nanostructuresSelf assembled nanostructures
Self assembled nanostructuresNivrith Gomatam
 
Lithography fabrication ppt
Lithography fabrication pptLithography fabrication ppt
Lithography fabrication pptAvinash Jadhav
 

What's hot (20)

Chemical vapour deposition
Chemical vapour depositionChemical vapour deposition
Chemical vapour deposition
 
laser ablation and pyrolysis for micro machining and nano fabrication
laser ablation and pyrolysis for micro machining and nano fabricationlaser ablation and pyrolysis for micro machining and nano fabrication
laser ablation and pyrolysis for micro machining and nano fabrication
 
X-ray lithography
X-ray lithographyX-ray lithography
X-ray lithography
 
Electron beam lithography
Electron beam lithographyElectron beam lithography
Electron beam lithography
 
Quantum Dots and its applications
Quantum Dots and its applicationsQuantum Dots and its applications
Quantum Dots and its applications
 
Top-Down and Bottom_Up Approches
Top-Down  and Bottom_Up ApprochesTop-Down  and Bottom_Up Approches
Top-Down and Bottom_Up Approches
 
Introduction to Properties of nanomaterials
Introduction to Properties of nanomaterialsIntroduction to Properties of nanomaterials
Introduction to Properties of nanomaterials
 
Synthesis of nanoparticles- physical,chemical and biological
Synthesis of nanoparticles- physical,chemical and biologicalSynthesis of nanoparticles- physical,chemical and biological
Synthesis of nanoparticles- physical,chemical and biological
 
Nanoimprint Lithography
Nanoimprint LithographyNanoimprint Lithography
Nanoimprint Lithography
 
Carbon containing Nanomaterials: Fullerenes & Carbon nanotubes
Carbon containing Nanomaterials: Fullerenes & Carbon nanotubesCarbon containing Nanomaterials: Fullerenes & Carbon nanotubes
Carbon containing Nanomaterials: Fullerenes & Carbon nanotubes
 
Synthesis of nanomaterials
Synthesis of nanomaterialsSynthesis of nanomaterials
Synthesis of nanomaterials
 
nanomaterial and dimensional effect
nanomaterial and dimensional effect nanomaterial and dimensional effect
nanomaterial and dimensional effect
 
Nanowires presentation
Nanowires presentationNanowires presentation
Nanowires presentation
 
TYPES OF NANOMATERIAL
TYPES OF NANOMATERIALTYPES OF NANOMATERIAL
TYPES OF NANOMATERIAL
 
2170508 150110105053,54
2170508 150110105053,542170508 150110105053,54
2170508 150110105053,54
 
Synthesis of nanomaterials by arju
Synthesis of nanomaterials by arjuSynthesis of nanomaterials by arju
Synthesis of nanomaterials by arju
 
AFM and STM (Scanning probe microscopy)
AFM and STM (Scanning probe microscopy)AFM and STM (Scanning probe microscopy)
AFM and STM (Scanning probe microscopy)
 
Physical vapor deposition
Physical vapor depositionPhysical vapor deposition
Physical vapor deposition
 
Self assembled nanostructures
Self assembled nanostructuresSelf assembled nanostructures
Self assembled nanostructures
 
Lithography fabrication ppt
Lithography fabrication pptLithography fabrication ppt
Lithography fabrication ppt
 

Similar to Nanolithography

ETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfmashiur
 
ETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfmashiur
 
Nanoscale Science and Technology
Nanoscale Science and TechnologyNanoscale Science and Technology
Nanoscale Science and Technologyengrktk
 
LIGA Process
LIGA ProcessLIGA Process
LIGA Processaman1312
 
ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxmashiur
 
ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxmashiur
 
Integrated circuit manufacturing techniques
Integrated circuit manufacturing techniques Integrated circuit manufacturing techniques
Integrated circuit manufacturing techniques alqohilihamed
 
scanning electron microscope
scanning electron microscopescanning electron microscope
scanning electron microscopeDrAkhilaCNV
 
Sk microfluidics and lab on-a-chip-ch4
Sk microfluidics and lab on-a-chip-ch4Sk microfluidics and lab on-a-chip-ch4
Sk microfluidics and lab on-a-chip-ch4stanislas547
 
Presentation on electron microscopy by aditi saxena (msc biochem)
Presentation on electron microscopy by aditi saxena (msc biochem)Presentation on electron microscopy by aditi saxena (msc biochem)
Presentation on electron microscopy by aditi saxena (msc biochem)aditi saxena
 
Lecture11_Synthesis-Nanomaterialsprocess.pdf
Lecture11_Synthesis-Nanomaterialsprocess.pdfLecture11_Synthesis-Nanomaterialsprocess.pdf
Lecture11_Synthesis-Nanomaterialsprocess.pdfNoel398789
 
ETE444-lec5-micro-fabrication.pdf
ETE444-lec5-micro-fabrication.pdfETE444-lec5-micro-fabrication.pdf
ETE444-lec5-micro-fabrication.pdfmashiur
 
ETE444-lec5-micro-fabrication.pdf
ETE444-lec5-micro-fabrication.pdfETE444-lec5-micro-fabrication.pdf
ETE444-lec5-micro-fabrication.pdfmashiur
 
Lithographic techniques
Lithographic techniquesLithographic techniques
Lithographic techniquesAshvinthK
 

Similar to Nanolithography (20)

ETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdf
 
ETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdfETE444-lec6-nanofabrication.pdf
ETE444-lec6-nanofabrication.pdf
 
Nano fabrication for beginner
Nano fabrication for beginner Nano fabrication for beginner
Nano fabrication for beginner
 
Nanoscale Science and Technology
Nanoscale Science and TechnologyNanoscale Science and Technology
Nanoscale Science and Technology
 
Nanotechnology-2.docx
Nanotechnology-2.docxNanotechnology-2.docx
Nanotechnology-2.docx
 
LIGA Process
LIGA ProcessLIGA Process
LIGA Process
 
ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptx
 
ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptx
 
Approaches of nanoelectronics
Approaches of nanoelectronicsApproaches of nanoelectronics
Approaches of nanoelectronics
 
NANOTECHNOLOGY
NANOTECHNOLOGY NANOTECHNOLOGY
NANOTECHNOLOGY
 
Integrated circuit manufacturing techniques
Integrated circuit manufacturing techniques Integrated circuit manufacturing techniques
Integrated circuit manufacturing techniques
 
scanning electron microscope
scanning electron microscopescanning electron microscope
scanning electron microscope
 
Sk microfluidics and lab on-a-chip-ch4
Sk microfluidics and lab on-a-chip-ch4Sk microfluidics and lab on-a-chip-ch4
Sk microfluidics and lab on-a-chip-ch4
 
Presentation on electron microscopy by aditi saxena (msc biochem)
Presentation on electron microscopy by aditi saxena (msc biochem)Presentation on electron microscopy by aditi saxena (msc biochem)
Presentation on electron microscopy by aditi saxena (msc biochem)
 
Lecture11_Synthesis-Nanomaterialsprocess.pdf
Lecture11_Synthesis-Nanomaterialsprocess.pdfLecture11_Synthesis-Nanomaterialsprocess.pdf
Lecture11_Synthesis-Nanomaterialsprocess.pdf
 
Nanotechnology
NanotechnologyNanotechnology
Nanotechnology
 
ETE444-lec5-micro-fabrication.pdf
ETE444-lec5-micro-fabrication.pdfETE444-lec5-micro-fabrication.pdf
ETE444-lec5-micro-fabrication.pdf
 
ETE444-lec5-micro-fabrication.pdf
ETE444-lec5-micro-fabrication.pdfETE444-lec5-micro-fabrication.pdf
ETE444-lec5-micro-fabrication.pdf
 
Lithographic techniques
Lithographic techniquesLithographic techniques
Lithographic techniques
 
Nanotechnology ppt
Nanotechnology pptNanotechnology ppt
Nanotechnology ppt
 

Recently uploaded

"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr BaganFwdays
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Enterprise Knowledge
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024Lorenzo Miniero
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsMemoori
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piececharlottematthew16
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Mattias Andersson
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenHervé Boutemy
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):comworks
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brandgvaughan
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Commit University
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfAddepto
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 3652toLead Limited
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr LapshynFwdays
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embeddingZilliz
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Mark Simos
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsMiki Katsuragi
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek SchlawackFwdays
 

Recently uploaded (20)

"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial Buildings
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piece
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache Maven
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brand
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdf
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embedding
 
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptxE-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering Tips
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
 

Nanolithography

  • 1. NANOFABRICATION TECHNIQUES AND PHOTORESIST MATERIALS BY DENISH M D KARTHIKA K N RINCHU KURIAKOSE SHAFEEK
  • 2. WHY NANO? • Chemistry – higher reactivity. High surface area – good for chemical and biochemical sensors. Higher sensitivity and faster response time. High level of reaction control. • Material – better mechanical strength. Prolong equipment life time. • Physics – quantum confined phenomena and designed physical properties. High density magnetic storage. Near field optics
  • 3. NANO MANIPULATION • Key enabling technology which fills gad between the top-down & bottom-up strategies. • Nano-manipulation is applied to scientific exploration of mesoscopic phenomena & the construction of prototype nano-devices. • Fundamental technology for property characterization of nano devices and building of nano- building blocks.
  • 4. MICRO & NANOFABRICATION METHODS • Revolutionized pharmaceutical, medical fields, etc… • Highly reproducible mass - fabrication of systems with complex geometries. • Micro & nanofabrication :  Photolithography  Soft lithography  Film deposition  Etching  Bonding  Rapid prototyping  X-ray  Colloidal monolayer  Focused ion beam lithography
  • 5. PHOTOLITHOGRAPHY • Process That transfers shapes from a template onto a surface using light • Used in micro applications. • Photolithography can be broken into photo (light), litho(stone), graphy (write) • Alphonse Poitevin invented photolithography in 1855 by incorporating light with lithography
  • 6. PROCESS The modern process used today make use of different steps, tools and different chemicals • Cleaning (using hydrogen peroxide) • Silicon deposition (to act as a masking film) • Spin coating (wafers are covered with photoresist) • extra photoresist are removed to obtain a flat surface • A mask is kept on top of the photoresist (causing a chemical change on the surface of photoresist) • Development of material (washing away of photoresist) • Etching (removal of unprotected silicon) • Photoresist cleaning (removal of insoluble photoresist) • Polishing(removal of excess silicon dioxide) • Repeat the process to obtain different layers of coating • Removal of silicon dioxide using chemicals
  • 7. ELECTRONIC BEAM • It is a mature Technology that evolved from scanning electron microscope in 1960’s • It is the practice of emitting a beam of electron in a patterned Fashion across a surface covered with a film (resist) and selectively removing either exposed or non exposed region of resist. • Direct write electron beam machines operates directly from design data and are capable of sub- micrometer pattern definition.
  • 8. SCHEMATIC DIAGRAM OF ELECTRONIC BEAM
  • 9. • Electron beam exposes the resist where it strikes (ie) electron breaks the molecules of the resist and so locally changes its characteristics in such a way that subsequent development as remove selectively the exposed (positive resist) or unexposed (negative resist) part. • Electron beam is used in micro elastic fabrication to create a mask in result that can be employed in any of several ways to create pattern on substrate. • Mask consist of a thin and low atomic number membrane and a high atomic number metal scattering.
  • 10. ELECTRON GUN LENS • Lower resolution system can use thermionic sources usually formed from Lab6 • System with higher resolution requirement need to use field electron emission sources such as tungsten.
  • 11. MAGNETIC LENS • It is a device used for focusing or deflection of moving charge particle such as electrons or ions by the use of magnetic Lorentz force.
  • 12. PROCESS • Selection of clean sample (remove oil organics etc.) • Spin coating of photoresist on surface of film (positive or negative resist)
  • 13. • Developing of film • Etching • Evaporate metal contacts substrate film
  • 14. ADVANTAGES • Ability to register accurately over small area of a wafer. • Low defect densities • Direct generation of pattern from circuit design data
  • 16. NANOLITHOGRAPHY • Nanolithography is the branch of nanotechnology concerned with the study and application of fabricating nanometer-scale structures, meaning patterns with at least one lateral dimension between 1 and 100 nm • It comes from the Greek word “nanos” meaning dwarf and “graphene” meaning to write. • It is a very active area of research in academia and in industry.
  • 17. WORKING • It used for further fabrications of nanometer materials and molecules. • Two of most important instruments used in the nanolithography processing are 1. Scanning Probe Microscope (SPM) 2. Atomic Force Microscope (ATM).
  • 18. TYPES • Optical nanolithography (EUV) • X-ray Nanolithography • Electron beam lithography • Nanoimprint lithography (NIL) • Multiphoton lithography • Scanning probe lithography • Charged-particle lithography • Neutral Particle Lithography • Atomic Force Microscopic Nanolithography
  • 19. 1. OPTICAL NANOLITHOGRAPHY • Traditional method of lithography • It is a technique for patterning the various surfaces and have the capability for producing sub patterns up-to to 100 nm with minor wave lengths. • Optical nanolithography requires the use of liquid immersion and resolution host. • Most cost effective method of lithography. 2. X RAY NANOLITHOGRAPHY • It is quite different from traditional X-ray lithography. • It has the ability to improve and extend optical resolution of 15 nm by using the short wavelengths of 1 nm for the illumination. • This is performed by printing approach and is used for batch processing.
  • 20. • It consists of 3 elements-A mask consisting of a pattern made with an X ray absorbing material on a thin xray transparent membrane, an X ray source, an X ray sensitive resist material • STEPS- 1 Irradiation-The first step in x- ray lithography is irradiation which involves exposing a thick layer of resist to high-energy beam of x-rays from a synchrotron. The mask membrane is normally a low atomic number material such as diamond, beryllium, or a thin membrane of a higher atomic number material such as silicon or silicon carbide. 2. Development-In this step the pattern is etched into the resist substrate by the use of x- rays. 3. Electroforming-Electroforming is the same as electroplating. Electroforming suggests that the plating is used to create an actual metal component. 4. Mould insert-A chemical solvent PMMA (poly methyl methacrylate) C5O2H8 is used to dissolve material, resulting model of the mask pattern. After removal of the resist, a freestanding metal structure is produced. 5. Mould filling-The metal structure may be a final product, or serve as a mold insert for precision plastic moulding. 6. Mould release-The plastic mold retains the same shape, size, and form as the original resist structure but is produced quickly. Moulded plastic parts may then be final product.
  • 21. Schematic diagram of X ray nanolithography
  • 22. ADVANTAGES Short wavelength from X-rays 0.4-4 nm No diffraction effect Simple to use No lens Faster than EBL DISADVANTAGES Distortion in absorber Cannot be focused through lens Masks are expensive to produce
  • 23. 3. ELECTRON BEAM LITHOGRAPHY • It is the most famous nanolithography method which makes use of electron beam to draw a pattern. • It is mostly used in the polymers to obtain different patterns of polymeric structures 4. MULTIPHOTON LITHOGRAPHY • It is also known as direct laser lithography or direct laser writing • Structuring is accomplished by illuminating negative-tone or positive-tone photoresists via light of a well- defined wavelength. • This method relies on a multi-photon absorption process in a material that is transparent at the wavelength of the laser used for creating the pattern. • It patterns surfaces without the use of a photomask whereby two-photon absorption is utilized to induce a change in the solubility of the resist. • By scanning and properly modulating the laser, a chemical change (usually polymerization) occurs at the focal spot of the laser and can be controlled to create an arbitrary three-dimensional periodic or non- periodic pattern • This method has been used for rapid prototyping of structures with fine features.
  • 24.
  • 25. 5. NANOIMPRINT LITHOGROPHY • Patterns are created by mechanical deformation of imprint resist, typically a monomer or polymer formulation that is cured by heat or UV light during imprinting. • It is a technique that combines the speed of optical lithography with the resolution of EBL.
  • 26. Special stamps contain the nanoscale designs to be fabricated on the substrate fig: a). The stamps are pressed into a polymeric material (resist) that was previously deposited on the substrate fig: b). When the stamp is filled with polymer, it is treated by UV light through the stamp, obtaining the stamps shape fig: c). A residual layer of resist is left and can be removed fig: d). A metal layer can be deposited on the sample as shown in fig: e) When the resist is removed, the nanoscale metal structures are left on the substrate fig: f).
  • 27. 6. SCANNING PROBE LITHOGRAPHY • It is a tool for patterning at the nanometer-scale down to individual atoms using scanning probes • It is used to create nanostructures on a substrate of interest by delivering collections of molecules thiols (are the sulfur equivalent of alcohols, and the word is a combination of "thio "+"alcohol,“) via capillary transport from an AFM tip to a surface. • In DPN the tip of AFM cantilever as a “pen,” which is coated with chemical compound acting as an “ink,” and put in contact with substrate, the “paper” • Molecular ink diffuses from a nanoscale tip to a surface through a water meniscus • Used to fabricate DNA and protein arrays.
  • 28. 7. CHARGED PARTICLE LITHOGRAPHY • This technique also has the capability of producing high resoultion patterns and deals with the broad beam of ions and can also produce patterns having very high resolution. • Broad beam of ion have highly charged particles which when hit the surface designs a specific desired pattern. 8. NEUTRAL PARTICLE LITHOGRAPHY • Neutral particle lithography is another technique which is also know as NPL. • It make use of wide beam of active neutral particles for producing high resolution patterns and images.
  • 29. 9. ATOMIC FORCE MICROSCOPIC LITHOGRAPHY • AFM nanolithography is a tool for materials structuring and designing with nanometre precision, AFM was invented in 1986. • AFM can be used to study both insulating and conducting materials, and can be operated in liquid, air or vacuum • AFM nanolithography design a wide range of materials including metals, semiconductors, polymers and biological molecules in different media • It is a method having chemical and mechanical properties and is commonly called as chemomechanical surface patterning method. • This technique uses atomic force microscope for the image generation.
  • 30. • The working principle of AFM nanolithography is based on the interaction between the probe and substrate. • In AFM a tiny cantilever with a sharp tip is scanned across a surface. The interaction between the surface and the tip cause the cantilever to bend and the bending is monitored using a laser beam. • In this way, nanometre changes in height can be measured and used to generate a three dimensional image of the surface.
  • 31. APPLICATIONS Nanolithography is used in the manufacture of multigate devices such as • Field effect transistors (FET), • Quantum dots • Nanowires, Gratings • Zone plates and Photomasks • Nanoelectromechanical systems (NEMS), or semiconductor integrated circuits
  • 32. SOFT LITHOGRAPHY • Soft lithography is a family of techniques for fabricating or replicating structures using "elastomeric stamps, moulds, and conformable photomasks". • It represents a non-photolithographic strategy based on selfassembly and replica molding for carrying out micro and nanofabrication • It is called "soft" because it uses elastomeric materials, most notably PDMS (polydimethylsiloxane) • Other elastomers used are polyurethanes, polyimides, and cross-linked Novolac resins • Soft lithography is generally used to construct features measured on the 30 nm to 100 µm. • An elastomeric block with patterned relief structures on its surface is the key to soft lithography
  • 34. TYPES • Near-Field Phase Shift Lithography • Replica Molding • Micromolding in Capillaries • Solvent-assisted Microcontact Molding • Microtransfer molding • Microcontact Printing
  • 35. 1. Near-Field Phase Shift Lithography • A transparent PDMS phase mask with relief on its surface is placed in conformal contact with a layer of photoresist. • Light passing through the stamp is modulated in the near-field. If the relief on the surface of the stamp shifts the phase of light , a node in the intensity is produced. • Features with dimensions between 40 and 100 nm are produced in photoresist at each phase edge. 2. Replica Molding • Replica molding is an efficient method for the duplication of the information (i.e. shape, morphology, and structure) present in the surface of a mold . • A PDMS stamp is cast against a conventionally patterned master. • Polyurethane is then molded against the secondary PDMS master. • In this way, multiple copies can be made without damaging the original master. The technique can replicate features as small as 30 nm
  • 36. Schematic diagram of replica molding
  • 37. 3. Micromolding in Capillaries (MIMIC) • Continuous channels are formed when a PDMS stamp is brought into conformal contact with a solid substrate. • Capillary action fills the channels with a polymer precursor. The polymer is cured and the stamp is removed. • MIMIC is able to generate features down to 1 µm in size
  • 38. 4. Solvent-assisted Microcontact Molding • A small amount of solvent is spread on a patterned PDMS stamp and the stamp is placed on a polymer, such as photoresist. • The solvent swells the polymer and causes it to expand to fill the surface relief of the stamp
  • 39. 5. Microtransfer Molding • A thin layer of liquid prepolymer is applied to the patterned surface of a PDMS mold and the excess liquid is removed by scraping with a flat PDMS block or by blowing off with a stream of nitrogen • It is then placed on a substrate. The material is cured and the stamp is removed. • The technique generates features as small as 250 nm and is able to generate multilayer systems
  • 40. 6. Microcontact Printing • It uses the relief pattern on the surface of a PDMS stamp to form patterns of self-assembled monolayers (SAMs) on the surfaces of substrates by contact. • An "ink" of alkanethiols is spread on a patterned PDMS stamp. • The stamp is then brought into contact with the substrate, which can range from coinage metals to oxide layers. • The thiol ink is transferred to the substrate where it forms a self-assembled monolayer that can act as a resist against etching. • Features as small as 300 nm have been made in this way
  • 41. Schematic diagram of microcontact printing
  • 42. APPLICATIONS • Applications of soft lithography in the near future could include simple optical devices, such as Polarizers Filters  wire grids  Surface acoustic wave (SAW) devices . • Longer term goals include working toward optical data storage systems, flat panel displays, and quantum devices. • Soft lithographic techniques are currently not competitive with conventional photolithography for multilayer fabrication where there are critical requirements for pattern regularity.
  • 43. ADVANTAGES Soft lithography has some unique advantages over other forms of lithography (such as photolithography and electron beam lithography). They include the following:  Lower cost than traditional photolithography in mass production  Well-suited for applications in biotechnology  Well-suited for applications in plastic electronics  Well-suited for applications involving large or nonplanar (nonflat) surfaces  More pattern-transferring methods than traditional lithography techniques (more "ink" options)  Does not need a photo-reactive surface to create a nanostructure  Smaller details than photolithography in laboratory settings (~30 nm vs ~100 nm). The resolution depends on the mask used and can reach 6 nm
  • 44. LIMITATIONS • Distortion currently limits soft lithographic techniques to the fabrication of single-layer structures and devices. Studies are also underway to quantify the distortion in elastomeric stamps and to limit this distortion by using thick stamps and rigid backings. • The formation and distribution of defects also must be controlled if these techniques are to be used in device fabrication. • Presence of a thin film of polymer under the nanometer-sized features in certain of the soft lithographic methods like microtransfer molding.
  • 45. PHOTORESIST MATERIALS Photoresists are light-sensitive material particularly used in microelectronics and microsystems technologies for the fabrication of µm- and sub-µm structures. All resist provides a broad range of different resist types which cover a wide range of applications.
  • 46. POSITIVE AND NEGATIVE PHOTORESIST • Positive Photoresist It is a type of photoresist in which the portion of the photoresist that is exposed to light becomes soluble to the photoresist developer. The unexposed portion remains insoluble to the photoresist developer • Negative Photoresist It is a type of photoresist in which the portion of the photoresist that is exposed to light becomes insoluble to the photoresist developer. The unexposed portion of the photoresist is dissolved by the photoresist developer.
  • 47.
  • 48. TYPES OF PHOTORESIST Based on the chemical structure of photoresists, they can be classified into three types 1. Photopolymeric Photoresist A type of photoresist, usually allyl monomer, which could generate free radical when exposed to light, then initiates the photo polymerization of monomer to produce a polymer. usually used for negative photoresist e.g. methyl methacrylate. 2. Photo decomposable Photoresist Generates hydrophilic product under light. They are usually used for positive photoresist. Eg.azide quinone 3. Photocrosslinking Photoresist It is a type of photoresist, which could crosslink chain by chain when exposed to light, to generate an insoluble network. They are usually used for negative photoresist.
  • 49. PARAMETERS FOR PHOTORESIST • Resolution Resolution is defined as the ability to differ the neighboring features on the substrate. Critical dimension (CD) is a main measure of resolution. The smaller the critical dimension, higher the resolution • Sensitivity Sensitivity is defined as the minimum energy that is required to generate a well-defined feature in the photoresist on the substrate.
  • 50. • Viscosity Viscosity is defined as a quantity of the photoresist, expressing the magnitude of internal friction. When it is needed to produce a thicker layer, the photoresist with higher viscosity will be preferred. • Adherence Adherence is defined as the adhesive strength between photoresist and substrate. The inefficient adherence of photoresist to substrate may lead to the deformation of the generated features. • Anti-etching Anti-etching is defined as the ability of a photo resist to resist the high temperature, different pH environment etc. • Contrast Contrast is defined as the gradiance from exposure portion to unexposure portion..
  • 51. APPLICATIONS • Microcontact Printing In this techniques, an elastomeric stamp is used to generate two-dimensional patterns, through printing the “ink” molecules onto the surface of a solid substrate. • Fabrication of printed circuit boards (PCB) applying photoresist, exposing image to ultraviolet rays, and then etching using iron chloride, cupric chloride or an alkaline ammonia etching solution to remove the copper-clad substrate. • Patterning and etching of substrates This includes specialty photonics materials, Micro-Electro-Mechanical Systems (MEMS), glass printed circuit boards, and other micro patterning tasks. Photoresist tends not to be etched by solutions with a pH greater than 3. • Microelectronics This application, mainly applied to silicon wafers/silicon integrated circuits is the most developed of the technologies and the most specialized in the field.

Editor's Notes

  1. Lithography- the process of printing from a flat surface treated so as to repel the ink where it is required for printing. Lithos-stone and graphein-write On a slab of limestone, a pattern was drawn and the painting ink was dabbed over it. The stone absorbed the water but the oil remained wet on the surface. A paper was then pressed against the stone to have the pattern printed on the paper.
  2. Thio-sulphur equivalent of alcohols
  3. 3 modes- contact mode, non contact mode and tapping mode