SlideShare a Scribd company logo
1 of 29
A
Presentation
On
VLSI Design
( Front End & Back End )
Contents
 VLSI Design
 VLSI Design Flow
 Ideas
 Specifications
 Design Architecture
 RTL Coding
 HDL
 Difference Between VHDL &
Verilog
 RTL Verification
 RTL Verification Wave Form
 Synthesis
 FPGA Kit
 Foundry
 IC Chip
 Front End
 Back End
 Synthesis
 Synthesis Verification
 Place & Route
 Place & Route Steps
 Parasitic Extraction
 Static Timing Analysis
 Post Layout Verification
 Application Of VLSI
Introduction : VLSI Design
 A VLSI (Very Large Scale Integration) system integrates millions of “electronic
components” in a small area (few mm2  few cm2).
 design “efficient” VLSI systems that has:
 Circuit Speed ( high )
 Power consumption ( low )
 Design Area ( low )
VLSI : Design Flow
1. idea (need) 2. specifications 3. design
architecture
4. RTL coding
5. RTL
Verification6. Synthesis
7.Foundry8.IC Chip
Ideas
• Microprocessor
• Microcontroller
• Memories
• Printer
• Mobile
• Digital security lock
Any thing we needs chip
Specifications
 This is the crucial step as it will affect the future of the product. Here, vendors
may want to get feedback from potential customers on what they are looking
for
 Instruction set
 Interface (I/O pins)
 Organization of the system
 Functionality of each unit in the system, and how to communicate it to
other units.
Design architecture
EDA Tools :
• Synopsys – astro
• Active hdl
• Xilinx - ise design suite
• Cadence - encounter digital ic design
 This is where the main work starts. With the help of the specification sheet the
target IC’s architecture is decided and a layout for same is created by design
engineers using EDA tools.
RTL coding
RTL coding tools
 RTL - register transfer level.
 This implies that the VHDL/VERILOG code written based on the architecture
describes how data is transformed as it is passed from register to register.
 xilinx ise
 Vim
 Emacs
 conTEXT
 HDL TurboWriter
HDL – Hardware Description Language
ƒ A programming language that can describe the functionality and timing
of the hardware.
Types of HDL
 VHDL ( Very High Speed Integrated Circuit Hardware Description
Language )
 VERILOG
 System VERILOG
Difference Between VHDL & VERILOG
VHDL
 Not case sensitive.
 Difficult to learn.
 Based on pascal & ada.
 Strongly typed.
VERILOG
 Case sensitive.
 Easy to learn.
 Based on c.
 Not strongly typed.
RTL Verification
RTL verification tools
 RTL simulation and verification is one of the important step. This ensures that
the design is logically correct and without major timing errors. It is
advantageous to perform this step, especially in the early stages of the design
 xilinx ise
 Modelism
 Verilog - XL
 Finism
 Test Builder
RTL verification wave form
Synthesis
Synthesis tools/kit
 This is where the design now start to get physical. Logic synthesis is a process by
which the desired circuit behavior i.e. Register Transistor Level is turned into a
design in terms of logic gates which drives the circuit or architecture.
 FPGA ( Altera, Digiland, Xilinx )
 CPLD ( Altera, Digiland )
FPGA KIT
Field programmable gate array (FPGA)
• It is a IC which can be be programmable by user to capture the logic.
• Capable to capturing 100,000 designed gates.
Foundry
The design is sent for Fabrication for mass production to foundry .
IC Chip
Front End
 The frontend flow is responsible to determine a solution for a given problem or
opportunity and transform it into a RTL circuit description.
Problem
Solution Specification
Behavioural Representation
RTL Coding (VHDL/Verilog)
Functional Verification
Back EndVerification?
YesNo
Back End
 The backend process is responsible for the physical implementation of a circuit. It
transforms the RTL circuit description into a physical design, composed by gates
and its interconnections. The main phases of the backend process are Synthesis
and Place & Route.
B
B
Synthesis
Synthesis Verification
Post-Layout Verification
Parasitic Extraction
Place & Route
Verification?
Yes
No
A
A
Static Timing Analysis
Timing?
Verification?
The End
Yes
Yes
No
No
Synthesis
 Synthesis is responsible for converting the RTL description into a structural gate
level based netlist. This netlist instantiates every element (standard cells and macros)
that compose the circuit and its connections.
 Synthesis can be described as follows:
Synthesis = Translation + Optimization + Mapping
 Synopsys Design Compiler is the tool used to perform a logical synthesis. Its inputs
are:
 The RTL description – Verilog or VHDL;
 The GTECH library – General technology library. Not tied to any specific
technology (gates, flip flops);
 Design Ware Library –Synthetic library (adders, multipliers, comparators, etc).
 The standard cell library – the specific target library;
 The defined constraints – synthesis goals regarding timing, area, capacitance,
max transition, fanout. Delivered by the Frontend team.
 Design Environment: The operating conditions (Libraries corners), wire load
models.
Synthesis Verification
 The first step is to verify a set of reports, which have information about timing,
area, fanout and shows the violations to the defined constraints.
 These reports must be interpreted to check if there are violations (setup time, hold
times, area, max transition, etc.).
 In case of violations DC can try to fix them by running optimization algorithms. If
DC cannot fix the violations, one must go back to RTL coding. With these reports it
is possible to check if the design is synthesizable and, therefore, if it is possible to
proceed.
 The final verification before proceeding to Place&Route is to run Formality, which is
a logical verification tool. It takes the final netlist generated by DC and checks the
logical equivalence with the RTL description.
Place & Route
 Place & Route is the backend stage that converts the gate level netlist produced
during synthesis into a physical design. Although the name denotes for two phases,
the Place & Route stage can be divided in three steps: Placement, Clock Tree
Synthesis (CTS) and Routing.
 Clock tree synthesis is the creation of a balanced buffer tree in all high fanout clock
nets to avoid violations regarding clock skew, max transition time, capacitance and
setup and hold times.
 Routing is done in three phases: Global Routing (design routing nets), Track
Assignment (assign nets to specific metal layers), and Search & Repair (fix
violations)
Placement
Empty miliway library
Load netlist
Connect cells pins
Load TLU+ files
Load floorplan
Laod Design Cons.
Check Spl Design Cons.
Antenna Issues
ICC Int. Sets
Coarse Plcmnt
Legalize
Scan Chain
Optimize Spare Cells
Power & GND
Routing Guides
CTS
Define Clock
Load Clk Tree Syn. Sets
Remove Auto Buffers
Compile Clk Tree
Errors
Set Not to Touch Attribs.
IR Drop Analysis
Static Timing Analysis
Routing
Congestion Map
Route Clk Nets
Route Signal Nets
Errors
FILL & DCAP cells in gaps
Redundancy
Run DRC & LVS
Save Database
Sign Off
Parasitic Extraction
 Parasitic extraction has the objective to create an accurate RC model of the circuit
so that future simulations and timing, power and IR Drop analyses can emulate the
real circuit response.
 Only with this information, all the analyses and simulations can report results close
to the real functioning of the circuit. This way this stage needs to precede all
signoff analyses.
Static Timing Analysis
 STA is a method to obtain accurate timing information without the need to
simulate the circuit.
 It allows detecting setup and hold times violations, as well as skew and slow paths
that limit the operation frequency.
 Synopsys Prime Time allows running STA over a physical design, for each corner.
Taking as inputs the post-layout netlist and parasitic and standard cells information
it outputs a series of reports, which give the possibility to detect timing violations.
Post Layout Verification
 The huge number of transistors in a circuit can make the voltage level drop below a
defined margin that ensures that the circuit works properly.
 IR Drop analysis allows checking the power grid to ensure that it is strong enough
to hold that minimum voltage level.
 Synopsys Prime Rail is the tool that outputs IR-drop and EM analyses reports.
 The final step is to run Synopsys Hercules which is a DRC/LVS verification tool.
 DRC (Design Rules Checking) checks if the foundry geometric and connectivity
rules are met.
 Examples of DRC´s include: Metal to metal spacing; well to well spacing; minimum
metal width; Antenna Effect; Metal fill density.
 LVS (Layout Versus Schematic) checks if the physical circuit corresponds to the
original circuit schematic.
Application of VLSI
Vlsi
Vlsi

More Related Content

What's hot

Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI DesignTeam-VLSI-ITMU
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Olivier Coudert
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)shaik sharief
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemMostafa Khamis
 
Introduction to VLSI Design
Introduction to VLSI DesignIntroduction to VLSI Design
Introduction to VLSI DesignKalyan Acharjya
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical designI World Tech
 
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applicationsSudhanshu Janwadkar
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...VLSI SYSTEM Design
 
Timing closure document
Timing closure documentTiming closure document
Timing closure documentAlan Tran
 
Formal Verification - Formality.pdf
Formal Verification - Formality.pdfFormal Verification - Formality.pdf
Formal Verification - Formality.pdfAhmed Abdelazeem
 

What's hot (20)

Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
 
Basics Of VLSI
Basics Of VLSIBasics Of VLSI
Basics Of VLSI
 
ASIC Design.pdf
ASIC Design.pdfASIC Design.pdf
ASIC Design.pdf
 
Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows
 
Eco
EcoEco
Eco
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Floor plan & Power Plan
Floor plan & Power Plan Floor plan & Power Plan
Floor plan & Power Plan
 
Clock Gating
Clock GatingClock Gating
Clock Gating
 
Introduction to VLSI Design
Introduction to VLSI DesignIntroduction to VLSI Design
Introduction to VLSI Design
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical design
 
Powerplanning
PowerplanningPowerplanning
Powerplanning
 
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applications
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
 
Timing closure document
Timing closure documentTiming closure document
Timing closure document
 
ASIC_Design.pdf
ASIC_Design.pdfASIC_Design.pdf
ASIC_Design.pdf
 
Vlsi ppt priyanka
Vlsi ppt priyankaVlsi ppt priyanka
Vlsi ppt priyanka
 
Formal Verification - Formality.pdf
Formal Verification - Formality.pdfFormal Verification - Formality.pdf
Formal Verification - Formality.pdf
 

Similar to Vlsi

Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdlArshit Rai
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdlArshit Rai
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)Sudhanshu Janwadkar
 
Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Ravi Sony
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-ExpressDVClub
 
Complete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEComplete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEVLSIUNIVERSE
 
Short.course.introduction.to.vhdl
Short.course.introduction.to.vhdlShort.course.introduction.to.vhdl
Short.course.introduction.to.vhdlRavi Sony
 
IRJET - Augmented Tangible Style using 8051 MCU
IRJET -  	  Augmented Tangible Style using 8051 MCUIRJET -  	  Augmented Tangible Style using 8051 MCU
IRJET - Augmented Tangible Style using 8051 MCUIRJET Journal
 
Co emulation of scan-chain based designs
Co emulation of scan-chain based designsCo emulation of scan-chain based designs
Co emulation of scan-chain based designsijcsit
 

Similar to Vlsi (20)

VLSI
VLSIVLSI
VLSI
 
VLSI
VLSIVLSI
VLSI
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)
 
Lear unified env_paper-1
Lear unified env_paper-1Lear unified env_paper-1
Lear unified env_paper-1
 
ASCIC.ppt
ASCIC.pptASCIC.ppt
ASCIC.ppt
 
VLSI design flow.pptx
VLSI design flow.pptxVLSI design flow.pptx
VLSI design flow.pptx
 
Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-Express
 
C044061518
C044061518C044061518
C044061518
 
Report
ReportReport
Report
 
Complete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEComplete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSE
 
Verilog
VerilogVerilog
Verilog
 
Thaker q3 2008
Thaker q3 2008Thaker q3 2008
Thaker q3 2008
 
Short.course.introduction.to.vhdl
Short.course.introduction.to.vhdlShort.course.introduction.to.vhdl
Short.course.introduction.to.vhdl
 
IRJET - Augmented Tangible Style using 8051 MCU
IRJET -  	  Augmented Tangible Style using 8051 MCUIRJET -  	  Augmented Tangible Style using 8051 MCU
IRJET - Augmented Tangible Style using 8051 MCU
 
Co emulation of scan-chain based designs
Co emulation of scan-chain based designsCo emulation of scan-chain based designs
Co emulation of scan-chain based designs
 
Coding style for good synthesis
Coding style for good synthesisCoding style for good synthesis
Coding style for good synthesis
 
Embedded system
Embedded systemEmbedded system
Embedded system
 

More from Poornima institute of engineering and technology (13)

Ethics
EthicsEthics
Ethics
 
Calibration
CalibrationCalibration
Calibration
 
DMRC
DMRCDMRC
DMRC
 
Li fi
Li fiLi fi
Li fi
 
Teleportation
TeleportationTeleportation
Teleportation
 
Mining robot
Mining robotMining robot
Mining robot
 
Report on PLCC
Report on PLCCReport on PLCC
Report on PLCC
 
Power Line carrier communication
Power Line carrier communicationPower Line carrier communication
Power Line carrier communication
 
Tera ray
Tera ray Tera ray
Tera ray
 
Career consling
Career conslingCareer consling
Career consling
 
Difference between led & lcd
Difference between led & lcdDifference between led & lcd
Difference between led & lcd
 
Analog to digital converter
Analog to digital converterAnalog to digital converter
Analog to digital converter
 
Analog to digital converter
Analog to digital converterAnalog to digital converter
Analog to digital converter
 

Recently uploaded

FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756dollysharma2066
 
AKTU Computer Networks notes --- Unit 3.pdf
AKTU Computer Networks notes ---  Unit 3.pdfAKTU Computer Networks notes ---  Unit 3.pdf
AKTU Computer Networks notes --- Unit 3.pdfankushspencer015
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VDineshKumar4165
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfJiananWang21
 
chapter 5.pptx: drainage and irrigation engineering
chapter 5.pptx: drainage and irrigation engineeringchapter 5.pptx: drainage and irrigation engineering
chapter 5.pptx: drainage and irrigation engineeringmulugeta48
 
Online banking management system project.pdf
Online banking management system project.pdfOnline banking management system project.pdf
Online banking management system project.pdfKamal Acharya
 
Double Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueDouble Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueBhangaleSonal
 
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoor
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoorTop Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoor
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoordharasingh5698
 
Design For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startDesign For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startQuintin Balsdon
 
Intro To Electric Vehicles PDF Notes.pdf
Intro To Electric Vehicles PDF Notes.pdfIntro To Electric Vehicles PDF Notes.pdf
Intro To Electric Vehicles PDF Notes.pdfrs7054576148
 
Work-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxWork-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxJuliansyahHarahap1
 
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...Call Girls in Nagpur High Profile
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Arindam Chakraborty, Ph.D., P.E. (CA, TX)
 
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Bookingdharasingh5698
 
Unleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leapUnleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leapRishantSharmaFr
 

Recently uploaded (20)

FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
 
AKTU Computer Networks notes --- Unit 3.pdf
AKTU Computer Networks notes ---  Unit 3.pdfAKTU Computer Networks notes ---  Unit 3.pdf
AKTU Computer Networks notes --- Unit 3.pdf
 
NFPA 5000 2024 standard .
NFPA 5000 2024 standard                                  .NFPA 5000 2024 standard                                  .
NFPA 5000 2024 standard .
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - V
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdf
 
chapter 5.pptx: drainage and irrigation engineering
chapter 5.pptx: drainage and irrigation engineeringchapter 5.pptx: drainage and irrigation engineering
chapter 5.pptx: drainage and irrigation engineering
 
Online banking management system project.pdf
Online banking management system project.pdfOnline banking management system project.pdf
Online banking management system project.pdf
 
Call Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort ServiceCall Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
 
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak HamilCara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
 
Double Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueDouble Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torque
 
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoor
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoorTop Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoor
Top Rated Call Girls In chittoor 📱 {7001035870} VIP Escorts chittoor
 
Design For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startDesign For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the start
 
Intro To Electric Vehicles PDF Notes.pdf
Intro To Electric Vehicles PDF Notes.pdfIntro To Electric Vehicles PDF Notes.pdf
Intro To Electric Vehicles PDF Notes.pdf
 
Work-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxWork-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptx
 
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced LoadsFEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
 
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort ServiceCall Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
 
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
 
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
 
Unleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leapUnleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leap
 

Vlsi

  • 2. Contents  VLSI Design  VLSI Design Flow  Ideas  Specifications  Design Architecture  RTL Coding  HDL  Difference Between VHDL & Verilog  RTL Verification  RTL Verification Wave Form  Synthesis  FPGA Kit  Foundry  IC Chip  Front End  Back End  Synthesis  Synthesis Verification  Place & Route  Place & Route Steps  Parasitic Extraction  Static Timing Analysis  Post Layout Verification  Application Of VLSI
  • 3. Introduction : VLSI Design  A VLSI (Very Large Scale Integration) system integrates millions of “electronic components” in a small area (few mm2  few cm2).  design “efficient” VLSI systems that has:  Circuit Speed ( high )  Power consumption ( low )  Design Area ( low )
  • 4. VLSI : Design Flow 1. idea (need) 2. specifications 3. design architecture 4. RTL coding 5. RTL Verification6. Synthesis 7.Foundry8.IC Chip
  • 5. Ideas • Microprocessor • Microcontroller • Memories • Printer • Mobile • Digital security lock Any thing we needs chip
  • 6. Specifications  This is the crucial step as it will affect the future of the product. Here, vendors may want to get feedback from potential customers on what they are looking for  Instruction set  Interface (I/O pins)  Organization of the system  Functionality of each unit in the system, and how to communicate it to other units.
  • 7. Design architecture EDA Tools : • Synopsys – astro • Active hdl • Xilinx - ise design suite • Cadence - encounter digital ic design  This is where the main work starts. With the help of the specification sheet the target IC’s architecture is decided and a layout for same is created by design engineers using EDA tools.
  • 8.
  • 9. RTL coding RTL coding tools  RTL - register transfer level.  This implies that the VHDL/VERILOG code written based on the architecture describes how data is transformed as it is passed from register to register.  xilinx ise  Vim  Emacs  conTEXT  HDL TurboWriter
  • 10. HDL – Hardware Description Language ƒ A programming language that can describe the functionality and timing of the hardware. Types of HDL  VHDL ( Very High Speed Integrated Circuit Hardware Description Language )  VERILOG  System VERILOG
  • 11. Difference Between VHDL & VERILOG VHDL  Not case sensitive.  Difficult to learn.  Based on pascal & ada.  Strongly typed. VERILOG  Case sensitive.  Easy to learn.  Based on c.  Not strongly typed.
  • 12. RTL Verification RTL verification tools  RTL simulation and verification is one of the important step. This ensures that the design is logically correct and without major timing errors. It is advantageous to perform this step, especially in the early stages of the design  xilinx ise  Modelism  Verilog - XL  Finism  Test Builder
  • 14. Synthesis Synthesis tools/kit  This is where the design now start to get physical. Logic synthesis is a process by which the desired circuit behavior i.e. Register Transistor Level is turned into a design in terms of logic gates which drives the circuit or architecture.  FPGA ( Altera, Digiland, Xilinx )  CPLD ( Altera, Digiland )
  • 15. FPGA KIT Field programmable gate array (FPGA) • It is a IC which can be be programmable by user to capture the logic. • Capable to capturing 100,000 designed gates.
  • 16. Foundry The design is sent for Fabrication for mass production to foundry .
  • 18. Front End  The frontend flow is responsible to determine a solution for a given problem or opportunity and transform it into a RTL circuit description. Problem Solution Specification Behavioural Representation RTL Coding (VHDL/Verilog) Functional Verification Back EndVerification? YesNo
  • 19. Back End  The backend process is responsible for the physical implementation of a circuit. It transforms the RTL circuit description into a physical design, composed by gates and its interconnections. The main phases of the backend process are Synthesis and Place & Route. B B Synthesis Synthesis Verification Post-Layout Verification Parasitic Extraction Place & Route Verification? Yes No A A Static Timing Analysis Timing? Verification? The End Yes Yes No No
  • 20. Synthesis  Synthesis is responsible for converting the RTL description into a structural gate level based netlist. This netlist instantiates every element (standard cells and macros) that compose the circuit and its connections.  Synthesis can be described as follows: Synthesis = Translation + Optimization + Mapping  Synopsys Design Compiler is the tool used to perform a logical synthesis. Its inputs are:  The RTL description – Verilog or VHDL;  The GTECH library – General technology library. Not tied to any specific technology (gates, flip flops);  Design Ware Library –Synthetic library (adders, multipliers, comparators, etc).  The standard cell library – the specific target library;  The defined constraints – synthesis goals regarding timing, area, capacitance, max transition, fanout. Delivered by the Frontend team.  Design Environment: The operating conditions (Libraries corners), wire load models.
  • 21. Synthesis Verification  The first step is to verify a set of reports, which have information about timing, area, fanout and shows the violations to the defined constraints.  These reports must be interpreted to check if there are violations (setup time, hold times, area, max transition, etc.).  In case of violations DC can try to fix them by running optimization algorithms. If DC cannot fix the violations, one must go back to RTL coding. With these reports it is possible to check if the design is synthesizable and, therefore, if it is possible to proceed.  The final verification before proceeding to Place&Route is to run Formality, which is a logical verification tool. It takes the final netlist generated by DC and checks the logical equivalence with the RTL description.
  • 22. Place & Route  Place & Route is the backend stage that converts the gate level netlist produced during synthesis into a physical design. Although the name denotes for two phases, the Place & Route stage can be divided in three steps: Placement, Clock Tree Synthesis (CTS) and Routing.  Clock tree synthesis is the creation of a balanced buffer tree in all high fanout clock nets to avoid violations regarding clock skew, max transition time, capacitance and setup and hold times.  Routing is done in three phases: Global Routing (design routing nets), Track Assignment (assign nets to specific metal layers), and Search & Repair (fix violations)
  • 23. Placement Empty miliway library Load netlist Connect cells pins Load TLU+ files Load floorplan Laod Design Cons. Check Spl Design Cons. Antenna Issues ICC Int. Sets Coarse Plcmnt Legalize Scan Chain Optimize Spare Cells Power & GND Routing Guides CTS Define Clock Load Clk Tree Syn. Sets Remove Auto Buffers Compile Clk Tree Errors Set Not to Touch Attribs. IR Drop Analysis Static Timing Analysis Routing Congestion Map Route Clk Nets Route Signal Nets Errors FILL & DCAP cells in gaps Redundancy Run DRC & LVS Save Database Sign Off
  • 24. Parasitic Extraction  Parasitic extraction has the objective to create an accurate RC model of the circuit so that future simulations and timing, power and IR Drop analyses can emulate the real circuit response.  Only with this information, all the analyses and simulations can report results close to the real functioning of the circuit. This way this stage needs to precede all signoff analyses.
  • 25. Static Timing Analysis  STA is a method to obtain accurate timing information without the need to simulate the circuit.  It allows detecting setup and hold times violations, as well as skew and slow paths that limit the operation frequency.  Synopsys Prime Time allows running STA over a physical design, for each corner. Taking as inputs the post-layout netlist and parasitic and standard cells information it outputs a series of reports, which give the possibility to detect timing violations.
  • 26. Post Layout Verification  The huge number of transistors in a circuit can make the voltage level drop below a defined margin that ensures that the circuit works properly.  IR Drop analysis allows checking the power grid to ensure that it is strong enough to hold that minimum voltage level.  Synopsys Prime Rail is the tool that outputs IR-drop and EM analyses reports.  The final step is to run Synopsys Hercules which is a DRC/LVS verification tool.  DRC (Design Rules Checking) checks if the foundry geometric and connectivity rules are met.  Examples of DRC´s include: Metal to metal spacing; well to well spacing; minimum metal width; Antenna Effect; Metal fill density.  LVS (Layout Versus Schematic) checks if the physical circuit corresponds to the original circuit schematic.