SlideShare a Scribd company logo
1 of 24
FLOOR PLANNING
BY AMIT KR. CHAMOLI
Outlines
 Introduction
 Efficacy
 Merits
 Input/Output
 Floorplanning Problem
 Challenges
 Floorplanning Representations and Approaches
 Floorplanning Model
 Algorithms
 Assignment
 Conclusion
Introduction
 Floorplanning is an essential element of
hierarchical design flows, especially for
large SoC(System On Chip) designs. A
typical SoC could include hundreds of
RAMs, soft and hard IP(Intellectual
property), analog blocks, and multiple
power domains.
 A hierarchical methodology that extends
the capacity of design-automation tools,
improves tool runtimes, and mitigates
overall design risk by minimizing last
minute design changes
Floorplanning
 block placement
 Pin assignment
 Design partitioning
 Time budgeting
 Power and clock planning
Efficacy
 Floorplanning is considered when the
Design has not met timing or does
not meet timing consistently
 Critical logic to Improve performance
 Reduce routing congestion
 Improve module-level performance
and Area
 Improve Implementation Run time
and consistency with partitions
Merits
 Eliminate Guess work
 Minimize the impact of surprises in
chip assembly
 Reduce the risks associated with
Hierarchical Flows and Shorten the
time to design closure
 Timing
 Congestion
 More Flexibility in Design layout
Floorplanning phase
 Input
A set of blocks with constraints on area,
shapes, relative positions, Constraints on
chip area and aspect ratio, Netlist.
 Output
Shapes, Locations, Pin positions of the
blocks
 Objective Functions
Performance, chip area, and wire length
Floorplanning Problem
The floorplanning problem is to plan the
positions and shapes of the modules at the
beginning of the design cycle to optimize
the circuit performance:
 chip area
 total wirelength
 delay of critical path
 routability
 others, e.g., noise, heat dissipation, etc.
Floorplanning Challenges
 Bad Input/output Pad and Macro
placement
 Inaccurate Timing ,Area and Power
estimation
 Inadequate Region shaping ,
Partitioning and Pin Assignment
Floorplanning strategies
 Floorplanning must take into account
blocks of varying function, size,
shape.
 Must design:
 space allocation
 signal routing
 power supply routing
 clock distribution
Purposes of Floorplanning
 Early in design:
 Prepare a floorplan to budget area, wire
area/delay.Tradeoffs between blocks can
be negotiated.
 Late in design:
 Make sure the pieces fit together as
planned.
 Implement the global layout.
Floorplanning: Why Important?
 Early stage of physical design
 Determines the location of large blocks
 detailed placement easier (divide and
conquer!)
 Estimates of area, delay, power
 important design decisions
 Impact on subsequent design steps
(e.g., routing, heat dissipation analysis
and optimization)
Floorplanning tips
• Develop a wiring plan. Think about how
layers will be used to distribute important
wires.
• Sweep small components into larger blocks.
A floorplan with a single NAND gate in the
middle will be hard to work with.
• Design wiring that looks simple. If it looks
complicated, it is complicated.
• Draw separate wiring plans for power and
clocking. These are important design tasks
which should be tackled early.
Representations and Approaches
 Two popular approaches to floorplan
1. Simulated annealing
2. Analytical formulation
 Floorplan representations
1. Normalized Polish expression
2. B*-tree
3. Sequence Pair
4. Polar Graph
Floorplanning Model
1. Slicing floorplans
2. Non-slicing floorplans
 Slicing Tree
 A binary tree that models a slicing
structure.
 Each node represents a vertical cut line
(V), or a horizontal cut line (H).
 A third kind of node called Wheel (W)
appears for non sliceable floorplans
Floorplanning Model (Cont)
A Non-Slicing FloorplanSlicing Floorplan and its Slicing Tree
Floorplanning Algorithms
 Components
 “Placeholder” representation
 Usually in the form of a tree
 Slicing class: Polish expression
 Non-slicing class: O-tree, Sequence Pair, etc.
 Just defines the relative position of modules
 Perturbation
 Going from one floorplan to another
 Usually done using Simulated Annealing
 Floorplan sizing
 Choose the best shape for each module to minimize area
 Slicing: polynomial, bottom-up algorithm
 Non-slicing: Use mathematical programming (exact solution)
 Cost function
 Area, wire-length, ...
Classification of Algorithms
 Simulated Annealing
 Constraint Based methods
 (Integer) Linear Programming
Methods
 Rectangular Dualization Based
Methods
 Hierarchical Tree Based Methods
 Timing Driven Floorplanning
Algorithms
Simulated Annealing
 In this process, a material is first heated up
to a temperature that allow all its
molecules to move freely around and is
then cooled down very slowly.
 Perform computation that analogous to
physical process.
 The energy corresponds to the cost function
 Molecular movement corresponds to a sequence
of moves in the set of feasible solution
 Temperature corresponds to a control parameter
T which control the acceptance probability for a
move i.e. A good move
Wong-Liu Floorplanning Algorithm
 Uses simulated annealing
 Normalized Polish expressions represent
floorplans
 Cost function:
 cost = area + total WireLength
 Floorplan sizing is used to determine area
 After floorplan sizing, the exact location of each
module is known, hence wire-length can be
calculated
Wong-Liu Floorplanning Algorithm
(cont.)
 Moves:
 OP1: Exchange two operands that have
no other operands in between
 OP2: Complement a series of operators
between two operands
 OP3: Exchange adjacent operand and operator if the
resulting expression still a normalized Polish exp.
OP1OP1OP1OP1
OP1OP1OP1OP1 OP1OP1OP1OP1
12 | 4 – 3 | 12 | 3 – 4 | 12 - 3 – 4 | 12 - 3 4 - |
Assignment
 What are timing failure?
 What are the critical hierarchical
Block and Risk?
 Are changes/moves to the Floorplan
or critical logic going to be sufficient
to meet timing?
 Does anything else need to be
Floorplaned?
Conclusion
 Floorplanning is the foundation of a quality
IC implementation. The decisions made
regarding IO pad placement, macro
placement, partitioning, pin assignment,
and power planning ripple through the
place-and-route flow. Designers need
solutions that can handle extremely large
data sets, design variability and
complexity, in addition to enabling fast,
high-quality floorplanning.
Q & A
 THANK YOU

More Related Content

What's hot

Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioningSushil Kundu
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical designI World Tech
 
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applicationsSudhanshu Janwadkar
 
VLSI Physical Design Automation.ppt
VLSI Physical Design Automation.pptVLSI Physical Design Automation.ppt
VLSI Physical Design Automation.pptRichikDey5
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : IntroductionUsha Mehta
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehtaUsha Mehta
 
Packaging of vlsi devices
Packaging of vlsi devicesPackaging of vlsi devices
Packaging of vlsi devicesAshu0711
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI Jayant Suthar
 
Data flow model -Lecture-4
Data flow model -Lecture-4Data flow model -Lecture-4
Data flow model -Lecture-4Dr.YNM
 
VLSI Design Methodologies
VLSI Design MethodologiesVLSI Design Methodologies
VLSI Design MethodologiesKeshav
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI DesignTeam-VLSI-ITMU
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)shaik sharief
 
Overview of digital design with Verilog HDL
Overview of digital design with Verilog HDLOverview of digital design with Verilog HDL
Overview of digital design with Verilog HDLanand hd
 

What's hot (20)

Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioning
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical design
 
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applications
 
VLSI Physical Design Automation.ppt
VLSI Physical Design Automation.pptVLSI Physical Design Automation.ppt
VLSI Physical Design Automation.ppt
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehta
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
Packaging of vlsi devices
Packaging of vlsi devicesPackaging of vlsi devices
Packaging of vlsi devices
 
Logic Synthesis
Logic SynthesisLogic Synthesis
Logic Synthesis
 
Asic design flow
Asic design flowAsic design flow
Asic design flow
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI
 
Data flow model -Lecture-4
Data flow model -Lecture-4Data flow model -Lecture-4
Data flow model -Lecture-4
 
VLSI Design Methodologies
VLSI Design MethodologiesVLSI Design Methodologies
VLSI Design Methodologies
 
Floor plan & Power Plan
Floor plan & Power Plan Floor plan & Power Plan
Floor plan & Power Plan
 
09 placement
09 placement09 placement
09 placement
 
Altera flex
Altera flexAltera flex
Altera flex
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
 
Stick Diagram
Stick DiagramStick Diagram
Stick Diagram
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
Overview of digital design with Verilog HDL
Overview of digital design with Verilog HDLOverview of digital design with Verilog HDL
Overview of digital design with Verilog HDL
 

Similar to floor planning

VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
Ground_System_Design_&_Operation
Ground_System_Design_&_OperationGround_System_Design_&_Operation
Ground_System_Design_&_OperationSteven Gemeny
 
Simulated Annealing Algorithm for VLSI Floorplanning for Soft Blocks
Simulated Annealing Algorithm for VLSI Floorplanning for Soft BlocksSimulated Annealing Algorithm for VLSI Floorplanning for Soft Blocks
Simulated Annealing Algorithm for VLSI Floorplanning for Soft BlocksIJCSIS Research Publications
 
Algorithmic Techniques for Parametric Model Recovery
Algorithmic Techniques for Parametric Model RecoveryAlgorithmic Techniques for Parametric Model Recovery
Algorithmic Techniques for Parametric Model RecoveryCurvSurf
 
FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...
FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...
FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...VLSICS Design
 
Crash course on data streaming (with examples using Apache Flink)
Crash course on data streaming (with examples using Apache Flink)Crash course on data streaming (with examples using Apache Flink)
Crash course on data streaming (with examples using Apache Flink)Vincenzo Gulisano
 
SOC Chip Basics
SOC Chip BasicsSOC Chip Basics
SOC Chip BasicsA B Shinde
 
L1_vhdl_Intro (1).ppt
L1_vhdl_Intro (1).pptL1_vhdl_Intro (1).ppt
L1_vhdl_Intro (1).pptOsamaOsama46
 
Chapter 4: Induction Heating Computer Simulation
Chapter 4: Induction Heating Computer SimulationChapter 4: Induction Heating Computer Simulation
Chapter 4: Induction Heating Computer SimulationFluxtrol Inc.
 

Similar to floor planning (20)

UIC Thesis Morandi
UIC Thesis MorandiUIC Thesis Morandi
UIC Thesis Morandi
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
3rd 3DDRESD: Floorplacer
3rd 3DDRESD: Floorplacer3rd 3DDRESD: Floorplacer
3rd 3DDRESD: Floorplacer
 
Pd flow i
Pd flow iPd flow i
Pd flow i
 
Ground_System_Design_&_Operation
Ground_System_Design_&_OperationGround_System_Design_&_Operation
Ground_System_Design_&_Operation
 
UIC Thesis Montone
UIC Thesis MontoneUIC Thesis Montone
UIC Thesis Montone
 
Digital_system_design_A (1).ppt
Digital_system_design_A (1).pptDigital_system_design_A (1).ppt
Digital_system_design_A (1).ppt
 
Simulated Annealing Algorithm for VLSI Floorplanning for Soft Blocks
Simulated Annealing Algorithm for VLSI Floorplanning for Soft BlocksSimulated Annealing Algorithm for VLSI Floorplanning for Soft Blocks
Simulated Annealing Algorithm for VLSI Floorplanning for Soft Blocks
 
Algorithmic Techniques for Parametric Model Recovery
Algorithmic Techniques for Parametric Model RecoveryAlgorithmic Techniques for Parametric Model Recovery
Algorithmic Techniques for Parametric Model Recovery
 
3D-DRESD R4R
3D-DRESD R4R3D-DRESD R4R
3D-DRESD R4R
 
K.chart
K.chartK.chart
K.chart
 
FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...
FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...
FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...
 
Control term proj3012
Control term proj3012Control term proj3012
Control term proj3012
 
HPPS - Final - 06/14/2007
HPPS - Final - 06/14/2007HPPS - Final - 06/14/2007
HPPS - Final - 06/14/2007
 
3D-DRESD Polaris
3D-DRESD Polaris3D-DRESD Polaris
3D-DRESD Polaris
 
Crash course on data streaming (with examples using Apache Flink)
Crash course on data streaming (with examples using Apache Flink)Crash course on data streaming (with examples using Apache Flink)
Crash course on data streaming (with examples using Apache Flink)
 
SOC Chip Basics
SOC Chip BasicsSOC Chip Basics
SOC Chip Basics
 
L1_vhdl_Intro (1).ppt
L1_vhdl_Intro (1).pptL1_vhdl_Intro (1).ppt
L1_vhdl_Intro (1).ppt
 
L1_vhdl_Intro.ppt
L1_vhdl_Intro.pptL1_vhdl_Intro.ppt
L1_vhdl_Intro.ppt
 
Chapter 4: Induction Heating Computer Simulation
Chapter 4: Induction Heating Computer SimulationChapter 4: Induction Heating Computer Simulation
Chapter 4: Induction Heating Computer Simulation
 

More from Team-VLSI-ITMU

Reduced ordered binary decision diagram
Reduced ordered binary decision diagramReduced ordered binary decision diagram
Reduced ordered binary decision diagramTeam-VLSI-ITMU
 
Nmos design using synopsys TCAD tool
Nmos design using synopsys TCAD toolNmos design using synopsys TCAD tool
Nmos design using synopsys TCAD toolTeam-VLSI-ITMU
 
CAD: Layout Extraction
CAD: Layout ExtractionCAD: Layout Extraction
CAD: Layout ExtractionTeam-VLSI-ITMU
 
CAD: introduction to floorplanning
CAD:  introduction to floorplanningCAD:  introduction to floorplanning
CAD: introduction to floorplanningTeam-VLSI-ITMU
 
Computer Aided Design: Layout Compaction
Computer Aided Design: Layout CompactionComputer Aided Design: Layout Compaction
Computer Aided Design: Layout CompactionTeam-VLSI-ITMU
 
Cmos inverter design using tanner 180nm technology
Cmos inverter design using tanner 180nm technologyCmos inverter design using tanner 180nm technology
Cmos inverter design using tanner 180nm technologyTeam-VLSI-ITMU
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationTeam-VLSI-ITMU
 
All opam assignment2_main
All opam assignment2_mainAll opam assignment2_main
All opam assignment2_mainTeam-VLSI-ITMU
 
MOSFET Small signal model
MOSFET Small signal modelMOSFET Small signal model
MOSFET Small signal modelTeam-VLSI-ITMU
 
twin well cmos fabrication steps using Synopsys TCAD
twin well cmos fabrication steps using Synopsys TCADtwin well cmos fabrication steps using Synopsys TCAD
twin well cmos fabrication steps using Synopsys TCADTeam-VLSI-ITMU
 

More from Team-VLSI-ITMU (16)

Ch 6 randomization
Ch 6 randomizationCh 6 randomization
Ch 6 randomization
 
Intermediate Fabrics
Intermediate FabricsIntermediate Fabrics
Intermediate Fabrics
 
RTX Kernal
RTX KernalRTX Kernal
RTX Kernal
 
CNTFET
CNTFETCNTFET
CNTFET
 
scripting in Python
scripting in Pythonscripting in Python
scripting in Python
 
Reduced ordered binary decision diagram
Reduced ordered binary decision diagramReduced ordered binary decision diagram
Reduced ordered binary decision diagram
 
Nmos design using synopsys TCAD tool
Nmos design using synopsys TCAD toolNmos design using synopsys TCAD tool
Nmos design using synopsys TCAD tool
 
Linux Basics
Linux BasicsLinux Basics
Linux Basics
 
CAD: Layout Extraction
CAD: Layout ExtractionCAD: Layout Extraction
CAD: Layout Extraction
 
CAD: introduction to floorplanning
CAD:  introduction to floorplanningCAD:  introduction to floorplanning
CAD: introduction to floorplanning
 
Computer Aided Design: Layout Compaction
Computer Aided Design: Layout CompactionComputer Aided Design: Layout Compaction
Computer Aided Design: Layout Compaction
 
Cmos inverter design using tanner 180nm technology
Cmos inverter design using tanner 180nm technologyCmos inverter design using tanner 180nm technology
Cmos inverter design using tanner 180nm technology
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operation
 
All opam assignment2_main
All opam assignment2_mainAll opam assignment2_main
All opam assignment2_main
 
MOSFET Small signal model
MOSFET Small signal modelMOSFET Small signal model
MOSFET Small signal model
 
twin well cmos fabrication steps using Synopsys TCAD
twin well cmos fabrication steps using Synopsys TCADtwin well cmos fabrication steps using Synopsys TCAD
twin well cmos fabrication steps using Synopsys TCAD
 

Recently uploaded

WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brandgvaughan
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfAddepto
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr BaganFwdays
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embeddingZilliz
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machinePadma Pradeep
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024The Digital Insurer
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Patryk Bandurski
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):comworks
 
Search Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfSearch Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfRankYa
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxhariprasad279825
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Mark Simos
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clashcharlottematthew16
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationSafe Software
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebUiPathCommunity
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...Fwdays
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 3652toLead Limited
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenHervé Boutemy
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 

Recently uploaded (20)

WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brand
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdf
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embedding
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machine
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024My INSURER PTE LTD - Insurtech Innovation Award 2024
My INSURER PTE LTD - Insurtech Innovation Award 2024
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):
 
Search Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfSearch Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdf
 
DMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special EditionDMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special Edition
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptx
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clash
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio Web
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache Maven
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 

floor planning

  • 2. Outlines  Introduction  Efficacy  Merits  Input/Output  Floorplanning Problem  Challenges  Floorplanning Representations and Approaches  Floorplanning Model  Algorithms  Assignment  Conclusion
  • 3. Introduction  Floorplanning is an essential element of hierarchical design flows, especially for large SoC(System On Chip) designs. A typical SoC could include hundreds of RAMs, soft and hard IP(Intellectual property), analog blocks, and multiple power domains.  A hierarchical methodology that extends the capacity of design-automation tools, improves tool runtimes, and mitigates overall design risk by minimizing last minute design changes
  • 4. Floorplanning  block placement  Pin assignment  Design partitioning  Time budgeting  Power and clock planning
  • 5. Efficacy  Floorplanning is considered when the Design has not met timing or does not meet timing consistently  Critical logic to Improve performance  Reduce routing congestion  Improve module-level performance and Area  Improve Implementation Run time and consistency with partitions
  • 6. Merits  Eliminate Guess work  Minimize the impact of surprises in chip assembly  Reduce the risks associated with Hierarchical Flows and Shorten the time to design closure  Timing  Congestion  More Flexibility in Design layout
  • 7. Floorplanning phase  Input A set of blocks with constraints on area, shapes, relative positions, Constraints on chip area and aspect ratio, Netlist.  Output Shapes, Locations, Pin positions of the blocks  Objective Functions Performance, chip area, and wire length
  • 8. Floorplanning Problem The floorplanning problem is to plan the positions and shapes of the modules at the beginning of the design cycle to optimize the circuit performance:  chip area  total wirelength  delay of critical path  routability  others, e.g., noise, heat dissipation, etc.
  • 9. Floorplanning Challenges  Bad Input/output Pad and Macro placement  Inaccurate Timing ,Area and Power estimation  Inadequate Region shaping , Partitioning and Pin Assignment
  • 10. Floorplanning strategies  Floorplanning must take into account blocks of varying function, size, shape.  Must design:  space allocation  signal routing  power supply routing  clock distribution
  • 11. Purposes of Floorplanning  Early in design:  Prepare a floorplan to budget area, wire area/delay.Tradeoffs between blocks can be negotiated.  Late in design:  Make sure the pieces fit together as planned.  Implement the global layout.
  • 12. Floorplanning: Why Important?  Early stage of physical design  Determines the location of large blocks  detailed placement easier (divide and conquer!)  Estimates of area, delay, power  important design decisions  Impact on subsequent design steps (e.g., routing, heat dissipation analysis and optimization)
  • 13. Floorplanning tips • Develop a wiring plan. Think about how layers will be used to distribute important wires. • Sweep small components into larger blocks. A floorplan with a single NAND gate in the middle will be hard to work with. • Design wiring that looks simple. If it looks complicated, it is complicated. • Draw separate wiring plans for power and clocking. These are important design tasks which should be tackled early.
  • 14. Representations and Approaches  Two popular approaches to floorplan 1. Simulated annealing 2. Analytical formulation  Floorplan representations 1. Normalized Polish expression 2. B*-tree 3. Sequence Pair 4. Polar Graph
  • 15. Floorplanning Model 1. Slicing floorplans 2. Non-slicing floorplans  Slicing Tree  A binary tree that models a slicing structure.  Each node represents a vertical cut line (V), or a horizontal cut line (H).  A third kind of node called Wheel (W) appears for non sliceable floorplans
  • 16. Floorplanning Model (Cont) A Non-Slicing FloorplanSlicing Floorplan and its Slicing Tree
  • 17. Floorplanning Algorithms  Components  “Placeholder” representation  Usually in the form of a tree  Slicing class: Polish expression  Non-slicing class: O-tree, Sequence Pair, etc.  Just defines the relative position of modules  Perturbation  Going from one floorplan to another  Usually done using Simulated Annealing  Floorplan sizing  Choose the best shape for each module to minimize area  Slicing: polynomial, bottom-up algorithm  Non-slicing: Use mathematical programming (exact solution)  Cost function  Area, wire-length, ...
  • 18. Classification of Algorithms  Simulated Annealing  Constraint Based methods  (Integer) Linear Programming Methods  Rectangular Dualization Based Methods  Hierarchical Tree Based Methods  Timing Driven Floorplanning Algorithms
  • 19. Simulated Annealing  In this process, a material is first heated up to a temperature that allow all its molecules to move freely around and is then cooled down very slowly.  Perform computation that analogous to physical process.  The energy corresponds to the cost function  Molecular movement corresponds to a sequence of moves in the set of feasible solution  Temperature corresponds to a control parameter T which control the acceptance probability for a move i.e. A good move
  • 20. Wong-Liu Floorplanning Algorithm  Uses simulated annealing  Normalized Polish expressions represent floorplans  Cost function:  cost = area + total WireLength  Floorplan sizing is used to determine area  After floorplan sizing, the exact location of each module is known, hence wire-length can be calculated
  • 21. Wong-Liu Floorplanning Algorithm (cont.)  Moves:  OP1: Exchange two operands that have no other operands in between  OP2: Complement a series of operators between two operands  OP3: Exchange adjacent operand and operator if the resulting expression still a normalized Polish exp. OP1OP1OP1OP1 OP1OP1OP1OP1 OP1OP1OP1OP1 12 | 4 – 3 | 12 | 3 – 4 | 12 - 3 – 4 | 12 - 3 4 - |
  • 22. Assignment  What are timing failure?  What are the critical hierarchical Block and Risk?  Are changes/moves to the Floorplan or critical logic going to be sufficient to meet timing?  Does anything else need to be Floorplaned?
  • 23. Conclusion  Floorplanning is the foundation of a quality IC implementation. The decisions made regarding IO pad placement, macro placement, partitioning, pin assignment, and power planning ripple through the place-and-route flow. Designers need solutions that can handle extremely large data sets, design variability and complexity, in addition to enabling fast, high-quality floorplanning.
  • 24. Q & A  THANK YOU