SlideShare a Scribd company logo
1 of 36
Download to read offline
Copyrights © Yole Développement SA. All rights reserved.
1
1
YOLE DEVELOPPEMENT
FROM TECHNOLOGIES TO MARKET
COLLABORATION
INNOVATION
NEW PERSPECTIVES
Advanced Packaging Role after Moore’s Law
Transition from Technology Node Era to Functionality Era
13 January 2016
Rozalia Beica
Copyrights © Yole Développement SA. All rights reserved.
2
2
 AP Growth
Presentation Outline
2
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
2
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
• Europea
n Market
 AP Platforms
 WLCSP
 Conclusions
 Flip-Chip
 Fan-Out
 2.5D & 3D-IC
 Market Drivers
 Evolution
 Platforms
 Computing Trends
Copyrights © Yole Développement SA. All rights reserved.
3 3
YOLE DEVELOPPEMENT
Introduction
YOLE DEVELOPPEMENT
FROM TECHNOLOGIES TO MARKET
Founded in 1998 in Lyon, France
Introduction
Copyrights © Yole Développement SA. All rights reserved.
4 4
Yole – A Group of Companies
The company is involved in the following areas:
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Finance
MEMS & Sensors Microfluidics & Bio Tech
Photovoltaics LED & Compound Semi Materials
Advanced Packaging
Photonics Power Electronics Equipment
Rev. Eng./Costing
Intellectual PropertyMarket, technology & strategy consulting
Manufacturing costs analysis
Reverse engineering
M&A operations
Due diligences
IP Analysis
Patent Assessment
Fundraising
Maturation of companies
IP Portfolio Management
Innovation Mgmt.
Copyrights © Yole Développement SA. All rights reserved.
5 5
Providing:
 market analysis
 technology evaluation
 strategic analysis
 business plan
 finance
 ….
Consultancy
across multiple
fields
and entire value
chain
Serving the Entire Value Chain across Multiple Fields
Non-exhaustive list of clients
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Transportation
makers
Mobile &
consumer
electronics
Automotive Medical
systems
Industrial &
defense
Energy
From A to Z…
Copyrights © Yole Développement SA. All rights reserved.
6 6
Providing:
 market analysis
 technology evaluation
 strategic analysis
 business plan
 finance
 ….
Consultancy
across multiple
fields
and entire value
chain
Serving the Entire Value Chain across Multiple Fields
Non-exhaustive list of clients
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Integrators
and end-
users
Device
makers
OSAT,
foundries…
R&D centers
Financial
Investores
etc.
Suppliers:
Equipment &
Materials
Copyrights © Yole Développement SA. All rights reserved.
7
7
Yole’s research is focused on:
 Applicative Packaging: moving to high performance, low cost, application driven
packaging techniques
 Advanced Packaging: moving to high performance, low cost, collective wafer
level packaging techniques
Semiconductor Packaging
Camera Level Packaging Power Module Packaging MEMS Packaging LED Packaging
Lead-Frame LCC Wirebonding BGA WLCSP 3D WLP SIP 3DIC
QFN PGA Flip Chip POP FOWLP 2.5D Interposer
7
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
Copyrights © Yole Développement SA. All rights reserved.
8
8 Increased integration and flexible packaging solutions
 Increased volumes
 Growing applications
 More users
Computing Trends
New Major Technology Cycles
10x More Users, Devices
 Increased performance
 Smaller form factor
 Increased functionality
 Reduced cost
Mainframe
Mini-computers
PCs
Desktop internet
Mobile Internet
Internet of Things
1MM+
10MM+
100MM+
1Bill+
10Bill+
10Bill+
1960 1970 1980 1990 2000 2010 2020 2030 2040…
Copyrights © Yole Développement SA. All rights reserved.
9
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
9
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
http://ec.europa.eu/digital-agenda/en/about-mobility
The Driving Forces are Changing
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Driver
Mainframe
computers
Fixed personal
computer
Mobile
Consumer
Internet of
Things and the
Cloud
Key success
Parameters
1. Performance
2. Cost
1. Cost
2. Performance
1. Cost
2. Power
3. Performance
4. Size
1. Cost
2. Power
3. Latency
4. Bandwidth
density
5. Size
Adapted after Bill Bottoms – ECS 2014, Orlando
Time
Wired Wireless
Copyrights © Yole Développement SA. All rights reserved.
10
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
10
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
Silicon / Interconnection trend
500
020406080100
Number
I/O per cm²
20.000
10.000
1500
CMOS90 CMOS45
CMOS28
CMOS16
CMOS65
FinFET
Technology Node
CMOS [nm]
Scaling of Transistor
Nodes => I/Os Density
Increase
Copyrights © Yole Développement SA. All rights reserved.
11
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
11
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
 Continue with development of advanced technology nodes (follow
Moore’s Law)
 Develop new alternative technologies/packages (More than Moore)
in order to be able to meet the market requirements:
What Options Do We Have?
 The CMOS transistors continue to shrink but at the
increase of fab expenses.
 Although performance is increasing, the same trend of
reduced cost has reached its end
Copyrights © Yole Développement SA. All rights reserved.
12
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
12
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
3D Integration: Packaging Added Value
Hybrid Memory Cube
More Moore : miniaturization
130nm 90nm 65nm 45nm 32nm 22nm 14nm 10nm
Analog
+RF
PassivesPowerSensorsEnergy
Sources
Biochips
MoreThanMoore:diversification
SoC
SiP
IPD
RF
CMOS
SMOS
Analog
Power
Low
Power
CMOS
High
Perf. SOI
Non
volatile
Memory
GaAs RF
MEMS
…
3DIC technology is seen today
as a new paradigm for the future
of the semiconductor industry,
as it will enable several more
decades of chip evolution at
ever lower cost, higher
performance and smaller-size
features.
…
Copyrights © Yole Développement SA. All rights reserved.
13
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
13
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
Microelectronics Packaging Evolution
Surface Mount
Ball grid arrays
SiPs
WLCSP
FC BGA
PoP
More SiPs
WLCSP
Flip-Chip
Fan-Out
Interposers
3DIC
SiP
1980
TechnologyIntroduction
GapFeaturesSivsPCB
Through hole
Mature
1970 1990 2000 2010
DIP, PGA
SOP, QFP, PLCC CSPs/BGAs
Today
Established Emerging
Feature sizes CMOS
Bridging the Gap Between Si and PCB Processing Capabilities
Feature sizes of PCBs
Copyrights © Yole Développement SA. All rights reserved.
14
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
14
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
Advanced Packaging Evolution
The growing and diversifying system requirements have continued to drive the development of a
variety of new package styles and configurations:
 Small-form-factor
 Lightweight technology
 Low-profile technology
 High-pin-count technology
 High-speed technology
 High Reliability
 Improved thermal management
 Lower cost
Increased functionality, speed, higher bandwidth, increased I/Os, …
Complexity:
Lead-frame based Packages Wirebonded
FCBGA
Through Silicon Via
FCCSP
PoP, PiP
3D Wirebonding ….
3D SiP
Performance:
Copyrights © Yole Développement SA. All rights reserved.
15
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
15
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
Advanced Packaging Platforms
Leadframes
QFN,QFP
w/o IC substrates
Fan-in Fan-out
IC substrates-based
BGA
(organic substrate)
W/B BGA Flip Chip BGA 3DIC
Interposer
based
(Si, Glass, Org)
SiP
Embedded
die
(in substrate)
PCB substrate
Increased functionality, I/Os, integration complexity
Interconnect:
Single die
Multiple Dies
FO MCP
Integration:2D 3D
Embedded die
(in substrate)
3D Stacking SiP
Bumping, Pillars, Studs, Through-silicon-via, Bump-less, Embedded Technologies...
Copyrights © Yole Développement SA. All rights reserved.
16
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
16
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
Fan-In WLCSP Market Drivers & Activities
 Fan-in WLP maintains its appeal as the package that can provide 2
unmatchable advantages:
• Reduced form factor
• Low cost
 Demand is reaching available capacity
 Technology innovation in fan-in WLP continues:
• Die size increases
• Bump pitch reduces
 Foundry involvement is no longer a dent in fan-in WLP production
 Increased activity of Chinese capital on the market
 New applications are emerging while other are declining
• Disruptions also expected in the MEMS and CIS domains
• Internet of Things
Mobile sector is driving fan-in WLP production and growing
IoT is on the horizon and is expected to have a significant impact on
fan-in packages and the packaging industry as a whole
Mobile
Copyrights © Yole Développement SA. All rights reserved.
17
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
17
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
CPU
Drivers Devices packaged using
‘Fan-in’ WLP
Discrete passives
Devices using other packaging
technologies (not ‘Fan-in’ WLP
WLCSP ICs in Handsets
WLCSP
27%
Others
73%
WLCSP
35%
Others
65%
WLCSP
33%
Others
67%
Samsung
Galaxy S6
Huawei Ascend
Mate 7
iPhone 6+
 Avg. 30% WLCSP packages in the latest high end smartphones!
Copyrights © Yole Développement SA. All rights reserved.
18
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
18
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
Flip Chip Market Drivers & Benefits
CPUs / GPUs/chipsets, the
earlier adopters of flip chip
Has transitioned to other devices –
due to several benefits it can bring
Copyrights © Yole Développement SA. All rights reserved.
19
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
19
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
Flip Chip Markets
 Applied across several different markets
…and much more!
Consumer
Automotive
Medical
Industrial
Mobile/wireless
Computing
Smartphones
Tablets
Laptops
UHD TV
Game Station
Desktop PC
Set-up Box
Cars
Ultrasonic Handler
Servers
Copyrights © Yole Développement SA. All rights reserved.
20
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
20
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
Bumping in 2.5D/3DIC Package
Bumps/Cu Pillars:
40-250µm
PCB
BGA Substrate
2.5D Silicon
interposer
X-PU Logic Die
Memory
stack
400-800µm
µ-Bumps: 10-40µm
µ-Bumps
20-80µm
1
2
3
BGA balls
 broad range of bumps, from larger BGAs (connection to the substrate) to very small microbumps
used in stacking the memories
4
Copyrights © Yole Développement SA. All rights reserved.
21
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
21
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
Top Players in 2014!
2014
(#1playe
r)
Bumping &
Assembly
location
TAIWAN
Advanced
Underfill
HENKEL
Flip chip
bonder
BESI
Solder ECD
bumping
SPIL
Gold
bumping
ChipBOND
Cu pillar
bumping
INTEL
Top Players
Copyrights © Yole Développement SA. All rights reserved.
22
22
Fan-Out vs. Fan-In and Flip-Chip
1 2 3 5 Package/IC
size ratio*
Fan-in WLCSP Fan-out WLCSP Flip Chip
This limit will move to higher ratios as eWLB production moves from
300mm wafers to large panels and the cost of eWLB/fan-out moves lowers.
4 6
IC
IC
IC
Advantages of Fan-Out
vs. Fan - In
• Higher board-level reliability
• Bumping is not limited to the die size, fan-out
area beyond chip area limitation
• Built-in back-side protection (protection
available for WLCSP as an option only)
• Lower thermal resistance
• Higher potential for SiP integration
vs. FCBGA
• Smaller footprint
• No substrate/interposer  Shorter
interconnections
• Higher electrical performance
• lower cost
• thinner package
• Lower thermal resistance
• Higher potential for SiP and 3D integration
Copyrights © Yole Développement SA. All rights reserved.
23
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
23
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
FOWLP End Applications
Mobile and wireless applications are the main markets for FOWLP
 LGE was the first OEM to integrate the eWLB to the wireless baseband in the following models:
• PMB8810 phone, T310 phone, T300 phone, GD350 phone, GB220 phone, GB230 phone, GS170 phone, GU230 phones
 We can also find eWLB in Samsung cell phones (baseband modem)
• Galaxy Tab tablet, Galaxy S phones
 Some Nokia phones use eWLB for the baseband modem and RF transceiver
Extension of the technology platform to a wider field of application areas is starting
 FOWLP is starting to be integrated into automotive and medical applications
 Infineon is using it for its 77GHz RADAR System IC Chipset (RASIC™)
• SiGe transmitter and receiver used by Bosch
 Analogic Ultra Sound is using it for its Sonic Window handheld ultrasound device made for vascular observation
• 10 dies embedded, 33*28 mm² package
2012 2013 20142011
Copyrights © Yole Développement SA. All rights reserved.
2424
Fan-Out Applications
Typical view of a smart phone board
RF
SiP
Digital
SiP
Sensor
SiP
Mixed Signal
SiP
SiP Modules:
BGA/PoP/QFN/TSOP
DC/DC
converters
Drivers IPD
ESD/EMI Stand-alone chips:
WL-CSP, SOT, QFN, UTLP, BGA
Discrete passives
Blue: Devices that can be found in FOWLP
packages today
Discrete passives
Yellow: Devices that could be found
in the future in FOWLP
Grey: Devices that will likelyremain on WLCSP or
flip-chip package or move to 3DIC or Embedded
die
TODAY TOMORROW
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Copyrights © Yole Développement SA. All rights reserved.
25
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
25
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
First Fan-out WLP Technologies
eWLB RCP
59%25%
16%
Main products:
- Single Die: Mobile and Wireless
- BB and Wireless SoC, RF, PMIC
- MCP/SiP products for Mobile (PMU),
Industrial, Medical and Security
applications
Main products:
- Mobile and Wireless
 BB and Wireless SoC
- RF Transceivers
- ASIC
Others
Total 2014
$174M
Wider adoption
Copyrights © Yole Développement SA. All rights reserved.
26
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
26
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
$0M
$500M
$1,000M
$1,500M
$2,000M
$2,500M
2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020
TOTAL $80 $115 $131 $158 $174 $244 $790 $1,223 $1,573 $1,993 $2,391
TSMC addition Mobile $471 $814 $1,088 $1,391 $1,715
TOTAL without TSMC $80 $115 $131 $158 $174 $244 $318 $409 $485 $602 $676
FO-WLPRevenues(M$)
FOWLP activity Revenues (M$)
Overall evolution since eWLB technology introduction
Yole Developpement © Sep 2015
FO WLP Market Forecast
CAGR ~ 55%
CAGR ~ 15%
Transition plateau
• Entry of A10 APE of iPhone7, 7+ and newer from 2016!
• Previous 2014-2020 CAGR was rated at 25%, while new 2014-2020 CAGR is estimated at 55%!
• After the jump, further 2016-2020 CAGR estimated at 32%
• Market estimated to exceed 2B$ by 2020
APPLE/TSMC entry
Copyrights © Yole Développement SA. All rights reserved.
27
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
27
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
650x830mm – Gen 4 LCD
650x650mm – WLP/LCD/PCB
2009 - 2014 2015 2016 2017 > 20182018
450mm
FOWLP 1st-gen - single die
• BB SoC
• RF Transceiver
• ASIC
• PMIC
High yield
Semiconductor WLP
infrastructure
Fusion
WLP/PCB/LCD
infrastructures ?
204x508mm (8”x20” ) - Semi/PCB laminate substrate
500x650mm – PCB laminate
470x370mm – LCD Gen 2
FOWLP 2nd-gen - MCP/SiP/PoP
• DRAM memory
• NAND Flash memory
• APE/BB modem
• RF Tx, RF connectivity
• PMU/PMIC
• GPS
• MOEMS
330mm
Fan-out Infrastructure Evolution
Copyrights © Yole Développement SA. All rights reserved.
28
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
28
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
Drivers and Trends for 2.5D & 3DIC
Evolution
or Revolution?
3DIC / 3D SiP2D SOC
“All-in-One chip system integration”
All functions on 28nm lithography
 Chip area ↑, Cost ↑
MEMS 130 nm 200 mm
Memory 45 nm 300 mm
Logic 20 nm 450 mm
Analog 90 nm 300 mm
3D enables integration of
heterogeneous functions:
• On different lithography nodes
• On different wafer sizes
• In different wafer fabs
• By different players
 Cost ↓, Performance ↑, Size ↓
Time to Market ↓, Flexibility ↑
Market Drivers
Copyrights © Yole Développement SA. All rights reserved.
29
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
29
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
Photonics
Others
(Power,
LED, RF…)
Memory
TSV in a nutshell
MEMS
Logic
CMOS
Image
Sensors
Through
Silicon
Via
TSV
Copyrights © Yole Développement SA. All rights reserved.
30
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
30
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
2.5/3DIC Commercial Announcements!
 Several product announcements using TSV
2014
2011
2015
2017
2016
Nvidia Pascal
Graphics Module
EX-800 Blade Server
using HMC
New second generation
Xenon Phi processor
“Knights Landing” using HMC
Next Generation PRIMEHPC
POST FX10 CPU memory board
using 8 HMC
DDR4 3D
Dual Inline Memory Modules
(RDIMMs)
First Heterogeneous
3D FPGA Virtex-7 H580T
AMD R9 390X
Graphics product with HBM built with
20nm technology
2013
2012
Altera 10 Generation
FPGA using HMC
Stacked NAND Flash
Arria 10
Stratix 10
HMC
HBM
DiRAM4
Copyrights © Yole Développement SA. All rights reserved.
31
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
31
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
Market Evolution
100µm 10µm 1µm 100nm 10nm
PCB Design Rule Wafer Design Rule
Organic Substrate
Silicon/Glass Interposer
~8-> 5µm
More functionalities and advanced technologies nodes
OSAT /
Wafer foundries
Substrate
Manufacturers
High Cost
Lower cost than
Si/Glass Interposer
Opportunity for
organic interposers
Opportunity for Fan-Out
GAP!
Competing technologies
Copyrights © Yole Développement SA. All rights reserved.
32
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
32
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
System in Package
 Enabled by various packaging platforms & interconnect technologies:
• Wirebonding
• Flip-Chip
• Embedded technologies:
‒ Mold (fan-out)
‒ Laminate (embedded dies)
• 2.5D/3DIC
 Substrates:
• Lead-frames
• Laminates
• LTCC substrates
 Protective features:
• Metal lids
• Overmold encapsulation
• EMI shielding
Source: ASE
Side-by-side (Fan-Out)
Stacked (PoP/PiP)
Embedded die in laminate
Copyrights © Yole Développement SA. All rights reserved.
33
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
33
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
Major Benefits and Markets
 Smaller form factor
 Increased flexibility
 Integrating different technologies
 Higher performance: signal propagation, power
dissipation, noise and EMC performance
 Faster time-to-market
 Lower cost
 High added value
 IP protection
 Wireless communications
 Consumer
 Automotive
 IoT (connectivity)
 Medical
 ...
Various Markets
Copyrights © Yole Développement SA. All rights reserved.
34
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
34
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
Leadframes
QFN,QFP
w/o IC substrates
Fan-in Fan-out
IC substrates-based
BGA
(organic substrate)
W/B BGA Flip Chip BGA 3DIC
Interposer
based
(Si, Glass, Org)
SiP
Embedded
die
(in substrate)
SiP Positioning in Advance Packaging
PCB substrate
Increased functionality, performance…
Interconnect:
Single die
Multiple Dies
Integration:2D 3D
Embedded die
(in substrate)
Bumping, Pillas, Studs, Through-silicon-via, Bump-less, Embedded Technologies...
Platform enabling
functionality
through the use of
various packaging
techniques
Copyrights © Yole Développement SA. All rights reserved.
35
From TECHNOLOGIES to MARKET
Copyright @ Yole Developpement SA.. All rights reserved
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
35
Y
O
L
E
D
E
V
E
L
O
P
P
E
M
E
N
T
 High interest in the industry in several packaging platforms:
Conclusions and Future Perspectives
 Fan-in – stable growth, still driven by low cost and
introduction of new consumer applications requiring
low cost and pin count
 Flip-chip – will continue to grow, but expected to loose
overall market share to platforms such as WLCSP, Fan-
Out and 3DIC. Cu Pillar will continue to be the dominant
flip chip metallurgy
 Fan-Out – very promising platforms already established
in production. Currently using wafer infrastructure, may
move to panel manufacturing in the future
 2.5D & 3DIC – finally in production and adoption
expected to further grow. Fan-out could be a disruptive
technology for consumer applications
 SiP – enabling functionality packaging in a more flexible
way and with a faster time to market. Expected to have
a significant growth
 Advanced Packaging will continue to grow – driven by consumer & IoT
Copyrights © Yole Développement SA. All rights reserved.
36
36
Thank you!
YOLE DEVELOPPEMENT
COLLABORATION
INNOVATION
NEW PERSPECTIVES
For additional information visit our websites:
www.yole.fr & www.i-micronews.com
Online free registration to YOLE publications
Please visit us at booth # E5813

More Related Content

What's hot

Polymeric Materials for Advanced Packaging at the Wafer-Level 2018 Report by...
Polymeric Materials for Advanced Packaging at the Wafer-Level  2018 Report by...Polymeric Materials for Advanced Packaging at the Wafer-Level  2018 Report by...
Polymeric Materials for Advanced Packaging at the Wafer-Level 2018 Report by...Yole Developpement
 
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Yole Developpement
 
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...Yole Developpement
 
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...Yole Developpement
 
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...Yole Developpement
 
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole DeveloppementYole Developpement
 
Computing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - SampleComputing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - SampleYole Developpement
 
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...Yole Developpement
 
Status of Panel Level Packaging 2018 Report by Yole Developpement
Status of Panel Level Packaging 2018 Report by Yole Developpement Status of Panel Level Packaging 2018 Report by Yole Developpement
Status of Panel Level Packaging 2018 Report by Yole Developpement Yole Developpement
 
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...Yole Developpement
 
Equipment and Materials for 3D TSV Applications - 2017 Report by Yole Develop...
Equipment and Materials for 3D TSV Applications - 2017 Report by Yole Develop...Equipment and Materials for 3D TSV Applications - 2017 Report by Yole Develop...
Equipment and Materials for 3D TSV Applications - 2017 Report by Yole Develop...Yole Developpement
 
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Yole Developpement
 
Status of the Power Module Packaging Industry 2019 - Yole Développement
Status of the Power Module Packaging Industry 2019 - Yole DéveloppementStatus of the Power Module Packaging Industry 2019 - Yole Développement
Status of the Power Module Packaging Industry 2019 - Yole DéveloppementYole Developpement
 
Status of The Advanced Packaging Industry_Yole Développement report
Status of The Advanced Packaging Industry_Yole Développement reportStatus of The Advanced Packaging Industry_Yole Développement report
Status of The Advanced Packaging Industry_Yole Développement reportYole Developpement
 
Mems and sensors packaging technology and trends presentation held by Amandin...
Mems and sensors packaging technology and trends presentation held by Amandin...Mems and sensors packaging technology and trends presentation held by Amandin...
Mems and sensors packaging technology and trends presentation held by Amandin...Yole Developpement
 
Equipment and Materials for Fan-Out Packaging 2019 report by Yole Développement
Equipment and Materials for Fan-Out Packaging 2019 report by Yole Développement Equipment and Materials for Fan-Out Packaging 2019 report by Yole Développement
Equipment and Materials for Fan-Out Packaging 2019 report by Yole Développement Yole Developpement
 
Hybrid bonding methods for lower temperature 3 d integration 1
Hybrid bonding methods for lower temperature 3 d integration 1Hybrid bonding methods for lower temperature 3 d integration 1
Hybrid bonding methods for lower temperature 3 d integration 1SUSS MicroTec
 
Status and Prospects for the Advanced Packaging Industry in China - 2016 Repo...
Status and Prospects for the Advanced Packaging Industry in China - 2016 Repo...Status and Prospects for the Advanced Packaging Industry in China - 2016 Repo...
Status and Prospects for the Advanced Packaging Industry in China - 2016 Repo...Yole Developpement
 
Flipchip Technologies & Market Trends 2015 Report by Yole Developpement
Flipchip Technologies & Market Trends 2015 Report by Yole DeveloppementFlipchip Technologies & Market Trends 2015 Report by Yole Developpement
Flipchip Technologies & Market Trends 2015 Report by Yole DeveloppementYole Developpement
 
Discrete Power Device Packaging: Materials Market and Technology Trends 2019 ...
Discrete Power Device Packaging: Materials Market and Technology Trends 2019 ...Discrete Power Device Packaging: Materials Market and Technology Trends 2019 ...
Discrete Power Device Packaging: Materials Market and Technology Trends 2019 ...Yole Developpement
 

What's hot (20)

Polymeric Materials for Advanced Packaging at the Wafer-Level 2018 Report by...
Polymeric Materials for Advanced Packaging at the Wafer-Level  2018 Report by...Polymeric Materials for Advanced Packaging at the Wafer-Level  2018 Report by...
Polymeric Materials for Advanced Packaging at the Wafer-Level 2018 Report by...
 
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
 
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
Advanced Substrates Overview: From IC Package to Board - 2017 Report by Yole ...
 
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
GaAs Wafer and Epiwafer Market: RF, Photonics, LED, Display and PV Applicatio...
 
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
 
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
3DIC & 2,5D TSV Interconnect trends 2014 Kinsale Presentation Yole Developpement
 
Computing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - SampleComputing and AI technologies for mobile and consumer applications 2021 - Sample
Computing and AI technologies for mobile and consumer applications 2021 - Sample
 
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
 
Status of Panel Level Packaging 2018 Report by Yole Developpement
Status of Panel Level Packaging 2018 Report by Yole Developpement Status of Panel Level Packaging 2018 Report by Yole Developpement
Status of Panel Level Packaging 2018 Report by Yole Developpement
 
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
2.5D / 3D TSV & Wafer-Level Stacking: Technology & Market Updates 2019 Report...
 
Equipment and Materials for 3D TSV Applications - 2017 Report by Yole Develop...
Equipment and Materials for 3D TSV Applications - 2017 Report by Yole Develop...Equipment and Materials for 3D TSV Applications - 2017 Report by Yole Develop...
Equipment and Materials for 3D TSV Applications - 2017 Report by Yole Develop...
 
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
Equipment & Materials for 3DIC & Wafer-Level Packaging Applications 2014 Repo...
 
Status of the Power Module Packaging Industry 2019 - Yole Développement
Status of the Power Module Packaging Industry 2019 - Yole DéveloppementStatus of the Power Module Packaging Industry 2019 - Yole Développement
Status of the Power Module Packaging Industry 2019 - Yole Développement
 
Status of The Advanced Packaging Industry_Yole Développement report
Status of The Advanced Packaging Industry_Yole Développement reportStatus of The Advanced Packaging Industry_Yole Développement report
Status of The Advanced Packaging Industry_Yole Développement report
 
Mems and sensors packaging technology and trends presentation held by Amandin...
Mems and sensors packaging technology and trends presentation held by Amandin...Mems and sensors packaging technology and trends presentation held by Amandin...
Mems and sensors packaging technology and trends presentation held by Amandin...
 
Equipment and Materials for Fan-Out Packaging 2019 report by Yole Développement
Equipment and Materials for Fan-Out Packaging 2019 report by Yole Développement Equipment and Materials for Fan-Out Packaging 2019 report by Yole Développement
Equipment and Materials for Fan-Out Packaging 2019 report by Yole Développement
 
Hybrid bonding methods for lower temperature 3 d integration 1
Hybrid bonding methods for lower temperature 3 d integration 1Hybrid bonding methods for lower temperature 3 d integration 1
Hybrid bonding methods for lower temperature 3 d integration 1
 
Status and Prospects for the Advanced Packaging Industry in China - 2016 Repo...
Status and Prospects for the Advanced Packaging Industry in China - 2016 Repo...Status and Prospects for the Advanced Packaging Industry in China - 2016 Repo...
Status and Prospects for the Advanced Packaging Industry in China - 2016 Repo...
 
Flipchip Technologies & Market Trends 2015 Report by Yole Developpement
Flipchip Technologies & Market Trends 2015 Report by Yole DeveloppementFlipchip Technologies & Market Trends 2015 Report by Yole Developpement
Flipchip Technologies & Market Trends 2015 Report by Yole Developpement
 
Discrete Power Device Packaging: Materials Market and Technology Trends 2019 ...
Discrete Power Device Packaging: Materials Market and Technology Trends 2019 ...Discrete Power Device Packaging: Materials Market and Technology Trends 2019 ...
Discrete Power Device Packaging: Materials Market and Technology Trends 2019 ...
 

Viewers also liked

Fingerprint sensor applications and technologies – Consumer market focus - 20...
Fingerprint sensor applications and technologies – Consumer market focus - 20...Fingerprint sensor applications and technologies – Consumer market focus - 20...
Fingerprint sensor applications and technologies – Consumer market focus - 20...Yole Developpement
 
MEMS & Sensors challenges & opportunities for the next decade 2016 Presentati...
MEMS & Sensors challenges & opportunities for the next decade 2016 Presentati...MEMS & Sensors challenges & opportunities for the next decade 2016 Presentati...
MEMS & Sensors challenges & opportunities for the next decade 2016 Presentati...Yole Developpement
 
Point-of-Need Testing: Application of Microfluidic Technologies - 2016 Report...
Point-of-Need Testing: Application of Microfluidic Technologies - 2016 Report...Point-of-Need Testing: Application of Microfluidic Technologies - 2016 Report...
Point-of-Need Testing: Application of Microfluidic Technologies - 2016 Report...Yole Developpement
 
BioMEMS: Microsystems for Healthcare Applications 2016 Report by Yole Develop...
BioMEMS: Microsystems for Healthcare Applications 2016 Report by Yole Develop...BioMEMS: Microsystems for Healthcare Applications 2016 Report by Yole Develop...
BioMEMS: Microsystems for Healthcare Applications 2016 Report by Yole Develop...Yole Developpement
 
Sensors for Cellphones and Tablets - 2016 Report by Yole Developpement
Sensors for Cellphones and Tablets - 2016 Report by Yole DeveloppementSensors for Cellphones and Tablets - 2016 Report by Yole Developpement
Sensors for Cellphones and Tablets - 2016 Report by Yole DeveloppementYole Developpement
 
SCiO Molecular Sensor from Consumer Physics: Mobile Spectrometer Dongle - tea...
SCiO Molecular Sensor from Consumer Physics: Mobile Spectrometer Dongle - tea...SCiO Molecular Sensor from Consumer Physics: Mobile Spectrometer Dongle - tea...
SCiO Molecular Sensor from Consumer Physics: Mobile Spectrometer Dongle - tea...Yole Developpement
 
Market Microsystems and bioMEMS for healthcare applications: opportunities an...
Market Microsystems and bioMEMS for healthcare applications: opportunities an...Market Microsystems and bioMEMS for healthcare applications: opportunities an...
Market Microsystems and bioMEMS for healthcare applications: opportunities an...Yole Developpement
 
Inverter Technology Trends and Market Expectations 2016 Report by Yole Develo...
Inverter Technology Trends and Market Expectations 2016 Report by Yole Develo...Inverter Technology Trends and Market Expectations 2016 Report by Yole Develo...
Inverter Technology Trends and Market Expectations 2016 Report by Yole Develo...Yole Developpement
 
STMicroelectronics 1200V SiC MOSFET STC30N120 - teardown reverse costing repo...
STMicroelectronics 1200V SiC MOSFET STC30N120 - teardown reverse costing repo...STMicroelectronics 1200V SiC MOSFET STC30N120 - teardown reverse costing repo...
STMicroelectronics 1200V SiC MOSFET STC30N120 - teardown reverse costing repo...Yole Developpement
 
2016 Comparison of Application Processor Packaging - teardown reverse costing...
2016 Comparison of Application Processor Packaging - teardown reverse costing...2016 Comparison of Application Processor Packaging - teardown reverse costing...
2016 Comparison of Application Processor Packaging - teardown reverse costing...Yole Developpement
 
Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...
Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...
Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...Yole Developpement
 

Viewers also liked (11)

Fingerprint sensor applications and technologies – Consumer market focus - 20...
Fingerprint sensor applications and technologies – Consumer market focus - 20...Fingerprint sensor applications and technologies – Consumer market focus - 20...
Fingerprint sensor applications and technologies – Consumer market focus - 20...
 
MEMS & Sensors challenges & opportunities for the next decade 2016 Presentati...
MEMS & Sensors challenges & opportunities for the next decade 2016 Presentati...MEMS & Sensors challenges & opportunities for the next decade 2016 Presentati...
MEMS & Sensors challenges & opportunities for the next decade 2016 Presentati...
 
Point-of-Need Testing: Application of Microfluidic Technologies - 2016 Report...
Point-of-Need Testing: Application of Microfluidic Technologies - 2016 Report...Point-of-Need Testing: Application of Microfluidic Technologies - 2016 Report...
Point-of-Need Testing: Application of Microfluidic Technologies - 2016 Report...
 
BioMEMS: Microsystems for Healthcare Applications 2016 Report by Yole Develop...
BioMEMS: Microsystems for Healthcare Applications 2016 Report by Yole Develop...BioMEMS: Microsystems for Healthcare Applications 2016 Report by Yole Develop...
BioMEMS: Microsystems for Healthcare Applications 2016 Report by Yole Develop...
 
Sensors for Cellphones and Tablets - 2016 Report by Yole Developpement
Sensors for Cellphones and Tablets - 2016 Report by Yole DeveloppementSensors for Cellphones and Tablets - 2016 Report by Yole Developpement
Sensors for Cellphones and Tablets - 2016 Report by Yole Developpement
 
SCiO Molecular Sensor from Consumer Physics: Mobile Spectrometer Dongle - tea...
SCiO Molecular Sensor from Consumer Physics: Mobile Spectrometer Dongle - tea...SCiO Molecular Sensor from Consumer Physics: Mobile Spectrometer Dongle - tea...
SCiO Molecular Sensor from Consumer Physics: Mobile Spectrometer Dongle - tea...
 
Market Microsystems and bioMEMS for healthcare applications: opportunities an...
Market Microsystems and bioMEMS for healthcare applications: opportunities an...Market Microsystems and bioMEMS for healthcare applications: opportunities an...
Market Microsystems and bioMEMS for healthcare applications: opportunities an...
 
Inverter Technology Trends and Market Expectations 2016 Report by Yole Develo...
Inverter Technology Trends and Market Expectations 2016 Report by Yole Develo...Inverter Technology Trends and Market Expectations 2016 Report by Yole Develo...
Inverter Technology Trends and Market Expectations 2016 Report by Yole Develo...
 
STMicroelectronics 1200V SiC MOSFET STC30N120 - teardown reverse costing repo...
STMicroelectronics 1200V SiC MOSFET STC30N120 - teardown reverse costing repo...STMicroelectronics 1200V SiC MOSFET STC30N120 - teardown reverse costing repo...
STMicroelectronics 1200V SiC MOSFET STC30N120 - teardown reverse costing repo...
 
2016 Comparison of Application Processor Packaging - teardown reverse costing...
2016 Comparison of Application Processor Packaging - teardown reverse costing...2016 Comparison of Application Processor Packaging - teardown reverse costing...
2016 Comparison of Application Processor Packaging - teardown reverse costing...
 
Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...
Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...
Panasonic PGA26C09DV 600V GaN HEMT teardown reverse costing report published ...
 

Similar to Advanced Packaging Role after Moore's Law

Market & Technology Trends in Materials and Equipement for Printed and Flexib...
Market & Technology Trends in Materials and Equipement for Printed and Flexib...Market & Technology Trends in Materials and Equipement for Printed and Flexib...
Market & Technology Trends in Materials and Equipement for Printed and Flexib...Yole Developpement
 
Effects of Consumer Drivers on Mixed Signal IP Business
Effects of Consumer Drivers on Mixed Signal IP BusinessEffects of Consumer Drivers on Mixed Signal IP Business
Effects of Consumer Drivers on Mixed Signal IP BusinessS3
 
Sapphire Applications & Market 2015 Report by Yole Developpement
Sapphire Applications & Market 2015 Report by Yole DeveloppementSapphire Applications & Market 2015 Report by Yole Developpement
Sapphire Applications & Market 2015 Report by Yole DeveloppementYole Developpement
 
Company Profile SELTA for Critical Infrastructure 2018
Company Profile SELTA for Critical Infrastructure 2018Company Profile SELTA for Critical Infrastructure 2018
Company Profile SELTA for Critical Infrastructure 2018SELTA
 
3D Packaging: A Key Enabler for Further Integration and Performance at Europe...
3D Packaging: A Key Enabler for Further Integration and Performance at Europe...3D Packaging: A Key Enabler for Further Integration and Performance at Europe...
3D Packaging: A Key Enabler for Further Integration and Performance at Europe...Yole Developpement
 
MIDIH TERA-Delta experment
MIDIH TERA-Delta expermentMIDIH TERA-Delta experment
MIDIH TERA-Delta expermentMIDIH_EU
 
Dye Sensitized Cell Markets – 2012
Dye Sensitized Cell Markets – 2012Dye Sensitized Cell Markets – 2012
Dye Sensitized Cell Markets – 2012n-tech Research
 
Leclerc keynote intrapreneurshipconference dec2013
Leclerc keynote intrapreneurshipconference dec2013Leclerc keynote intrapreneurshipconference dec2013
Leclerc keynote intrapreneurshipconference dec2013Olivier Leclerc
 
"2D and 3D Sensing: Markets, Applications, and Technologies," a Presentation ...
"2D and 3D Sensing: Markets, Applications, and Technologies," a Presentation ..."2D and 3D Sensing: Markets, Applications, and Technologies," a Presentation ...
"2D and 3D Sensing: Markets, Applications, and Technologies," a Presentation ...Edge AI and Vision Alliance
 
Power GaN 2016: Epitaxy and Devices, Applications, and Technology Trends - 20...
Power GaN 2016: Epitaxy and Devices, Applications, and Technology Trends - 20...Power GaN 2016: Epitaxy and Devices, Applications, and Technology Trends - 20...
Power GaN 2016: Epitaxy and Devices, Applications, and Technology Trends - 20...Yole Developpement
 
Technology Development Methodology – CMOS as a game-changer
Technology Development Methodology – CMOS as a game-changerTechnology Development Methodology – CMOS as a game-changer
Technology Development Methodology – CMOS as a game-changerAsia Pacific Cloud Apps Alliance
 
Whitepaper - How to build a mutil-technology scalable IoT Connectivity Platform?
Whitepaper - How to build a mutil-technology scalable IoT Connectivity Platform?Whitepaper - How to build a mutil-technology scalable IoT Connectivity Platform?
Whitepaper - How to build a mutil-technology scalable IoT Connectivity Platform?Actility
 
Spectrum Workshop - Issues and challenges in spectrum allocations and spectru...
Spectrum Workshop - Issues and challenges in spectrum allocations and spectru...Spectrum Workshop - Issues and challenges in spectrum allocations and spectru...
Spectrum Workshop - Issues and challenges in spectrum allocations and spectru...Coleago Consulting
 
04 b why ipod is successful
04 b why ipod is successful04 b why ipod is successful
04 b why ipod is successfulWesley Shu
 
How Changing Mobile Technology Is Changing The Way We Do Business
How Changing Mobile Technology Is Changing The Way We Do Business How Changing Mobile Technology Is Changing The Way We Do Business
How Changing Mobile Technology Is Changing The Way We Do Business Osaka University
 
SAP Ventures Overview - Paul Jozefak, SAP Ventures
SAP Ventures Overview - Paul Jozefak, SAP VenturesSAP Ventures Overview - Paul Jozefak, SAP Ventures
SAP Ventures Overview - Paul Jozefak, SAP Venturesmfrancis
 
Fan-In Packaging: Business update 2016 Report by Yole Developpement
Fan-In Packaging: Business update 2016 Report by Yole DeveloppementFan-In Packaging: Business update 2016 Report by Yole Developpement
Fan-In Packaging: Business update 2016 Report by Yole DeveloppementYole Developpement
 

Similar to Advanced Packaging Role after Moore's Law (20)

Market & Technology Trends in Materials and Equipement for Printed and Flexib...
Market & Technology Trends in Materials and Equipement for Printed and Flexib...Market & Technology Trends in Materials and Equipement for Printed and Flexib...
Market & Technology Trends in Materials and Equipement for Printed and Flexib...
 
Effects of Consumer Drivers on Mixed Signal IP Business
Effects of Consumer Drivers on Mixed Signal IP BusinessEffects of Consumer Drivers on Mixed Signal IP Business
Effects of Consumer Drivers on Mixed Signal IP Business
 
Sapphire Applications & Market 2015 Report by Yole Developpement
Sapphire Applications & Market 2015 Report by Yole DeveloppementSapphire Applications & Market 2015 Report by Yole Developpement
Sapphire Applications & Market 2015 Report by Yole Developpement
 
Company Profile SELTA for Critical Infrastructure 2018
Company Profile SELTA for Critical Infrastructure 2018Company Profile SELTA for Critical Infrastructure 2018
Company Profile SELTA for Critical Infrastructure 2018
 
3D Packaging: A Key Enabler for Further Integration and Performance at Europe...
3D Packaging: A Key Enabler for Further Integration and Performance at Europe...3D Packaging: A Key Enabler for Further Integration and Performance at Europe...
3D Packaging: A Key Enabler for Further Integration and Performance at Europe...
 
MIDIH TERA-Delta experment
MIDIH TERA-Delta expermentMIDIH TERA-Delta experment
MIDIH TERA-Delta experment
 
Dye Sensitized Cell Markets – 2012
Dye Sensitized Cell Markets – 2012Dye Sensitized Cell Markets – 2012
Dye Sensitized Cell Markets – 2012
 
Leclerc keynote intrapreneurshipconference dec2013
Leclerc keynote intrapreneurshipconference dec2013Leclerc keynote intrapreneurshipconference dec2013
Leclerc keynote intrapreneurshipconference dec2013
 
"2D and 3D Sensing: Markets, Applications, and Technologies," a Presentation ...
"2D and 3D Sensing: Markets, Applications, and Technologies," a Presentation ..."2D and 3D Sensing: Markets, Applications, and Technologies," a Presentation ...
"2D and 3D Sensing: Markets, Applications, and Technologies," a Presentation ...
 
Power GaN 2016: Epitaxy and Devices, Applications, and Technology Trends - 20...
Power GaN 2016: Epitaxy and Devices, Applications, and Technology Trends - 20...Power GaN 2016: Epitaxy and Devices, Applications, and Technology Trends - 20...
Power GaN 2016: Epitaxy and Devices, Applications, and Technology Trends - 20...
 
Technology Development Methodology – CMOS as a game-changer
Technology Development Methodology – CMOS as a game-changerTechnology Development Methodology – CMOS as a game-changer
Technology Development Methodology – CMOS as a game-changer
 
B036_7_CompanyProfile_E_print
B036_7_CompanyProfile_E_printB036_7_CompanyProfile_E_print
B036_7_CompanyProfile_E_print
 
Italtel Introduction presentation
Italtel Introduction presentationItaltel Introduction presentation
Italtel Introduction presentation
 
Whitepaper - How to build a mutil-technology scalable IoT Connectivity Platform?
Whitepaper - How to build a mutil-technology scalable IoT Connectivity Platform?Whitepaper - How to build a mutil-technology scalable IoT Connectivity Platform?
Whitepaper - How to build a mutil-technology scalable IoT Connectivity Platform?
 
Spectrum Workshop - Issues and challenges in spectrum allocations and spectru...
Spectrum Workshop - Issues and challenges in spectrum allocations and spectru...Spectrum Workshop - Issues and challenges in spectrum allocations and spectru...
Spectrum Workshop - Issues and challenges in spectrum allocations and spectru...
 
04 b why ipod is successful
04 b why ipod is successful04 b why ipod is successful
04 b why ipod is successful
 
How Changing Mobile Technology Is Changing The Way We Do Business
How Changing Mobile Technology Is Changing The Way We Do Business How Changing Mobile Technology Is Changing The Way We Do Business
How Changing Mobile Technology Is Changing The Way We Do Business
 
SAP Ventures Overview - Paul Jozefak, SAP Ventures
SAP Ventures Overview - Paul Jozefak, SAP VenturesSAP Ventures Overview - Paul Jozefak, SAP Ventures
SAP Ventures Overview - Paul Jozefak, SAP Ventures
 
Fan-In Packaging: Business update 2016 Report by Yole Developpement
Fan-In Packaging: Business update 2016 Report by Yole DeveloppementFan-In Packaging: Business update 2016 Report by Yole Developpement
Fan-In Packaging: Business update 2016 Report by Yole Developpement
 
Manz-Company-Brochure_EN
Manz-Company-Brochure_ENManz-Company-Brochure_EN
Manz-Company-Brochure_EN
 

More from Yole Developpement

Processor Quarterly Market Monitor Q3 2021 - Sample
Processor Quarterly Market Monitor Q3 2021 - SampleProcessor Quarterly Market Monitor Q3 2021 - Sample
Processor Quarterly Market Monitor Q3 2021 - SampleYole Developpement
 
Automotive Semiconductor Trends 2021
Automotive Semiconductor Trends 2021Automotive Semiconductor Trends 2021
Automotive Semiconductor Trends 2021Yole Developpement
 
MicroLED Displays - Market, Industry and Technology Trends 2021
MicroLED Displays - Market, Industry and Technology Trends 2021MicroLED Displays - Market, Industry and Technology Trends 2021
MicroLED Displays - Market, Industry and Technology Trends 2021Yole Developpement
 
Neuromorphic Computing and Sensing 2021 - Sample
Neuromorphic Computing and Sensing 2021 - SampleNeuromorphic Computing and Sensing 2021 - Sample
Neuromorphic Computing and Sensing 2021 - SampleYole Developpement
 
Future Soldier Technologies 2021
Future Soldier Technologies 2021Future Soldier Technologies 2021
Future Soldier Technologies 2021Yole Developpement
 
Computing for Datacenter Servers 2021 - Sample
Computing for Datacenter Servers 2021 - SampleComputing for Datacenter Servers 2021 - Sample
Computing for Datacenter Servers 2021 - SampleYole Developpement
 
5G’s Impact on RF Front-End and Connectivity for Cellphones 2020
5G’s Impact on RF Front-End and Connectivity for Cellphones 20205G’s Impact on RF Front-End and Connectivity for Cellphones 2020
5G’s Impact on RF Front-End and Connectivity for Cellphones 2020Yole Developpement
 
Ultrasound Sensing Technologies 2020
Ultrasound Sensing Technologies 2020Ultrasound Sensing Technologies 2020
Ultrasound Sensing Technologies 2020Yole Developpement
 
Status of the Memory Industry 2020
Status of the Memory Industry 2020Status of the Memory Industry 2020
Status of the Memory Industry 2020Yole Developpement
 
Status of the Radar Industry: Players, Applications and Technology Trends 2020
Status of the Radar Industry: Players, Applications and Technology Trends 2020Status of the Radar Industry: Players, Applications and Technology Trends 2020
Status of the Radar Industry: Players, Applications and Technology Trends 2020Yole Developpement
 
GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020Yole Developpement
 
BioMEMS Market and Technology 2020
BioMEMS Market and Technology 2020BioMEMS Market and Technology 2020
BioMEMS Market and Technology 2020Yole Developpement
 
Optical Transceivers for Datacom & Telecom 2020
Optical Transceivers for Datacom & Telecom 2020Optical Transceivers for Datacom & Telecom 2020
Optical Transceivers for Datacom & Telecom 2020Yole Developpement
 
Point-of-Need 2020 – Including PCR-Based Testing
Point-of-Need 2020 – Including PCR-Based TestingPoint-of-Need 2020 – Including PCR-Based Testing
Point-of-Need 2020 – Including PCR-Based TestingYole Developpement
 
Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020Yole Developpement
 
Sensors for Robotic Mobility 2020
Sensors for Robotic Mobility 2020Sensors for Robotic Mobility 2020
Sensors for Robotic Mobility 2020Yole Developpement
 
High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...
High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...
High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...Yole Developpement
 
Emerging Non-Volatile Memory 2020 report by Yole Développement
Emerging Non-Volatile Memory 2020 report by Yole DéveloppementEmerging Non-Volatile Memory 2020 report by Yole Développement
Emerging Non-Volatile Memory 2020 report by Yole DéveloppementYole Developpement
 
(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...
(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...
(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...Yole Developpement
 

More from Yole Developpement (20)

Processor Quarterly Market Monitor Q3 2021 - Sample
Processor Quarterly Market Monitor Q3 2021 - SampleProcessor Quarterly Market Monitor Q3 2021 - Sample
Processor Quarterly Market Monitor Q3 2021 - Sample
 
Automotive Semiconductor Trends 2021
Automotive Semiconductor Trends 2021Automotive Semiconductor Trends 2021
Automotive Semiconductor Trends 2021
 
MicroLED Displays - Market, Industry and Technology Trends 2021
MicroLED Displays - Market, Industry and Technology Trends 2021MicroLED Displays - Market, Industry and Technology Trends 2021
MicroLED Displays - Market, Industry and Technology Trends 2021
 
Neuromorphic Computing and Sensing 2021 - Sample
Neuromorphic Computing and Sensing 2021 - SampleNeuromorphic Computing and Sensing 2021 - Sample
Neuromorphic Computing and Sensing 2021 - Sample
 
Silicon Photonics 2021
Silicon Photonics 2021Silicon Photonics 2021
Silicon Photonics 2021
 
Future Soldier Technologies 2021
Future Soldier Technologies 2021Future Soldier Technologies 2021
Future Soldier Technologies 2021
 
Computing for Datacenter Servers 2021 - Sample
Computing for Datacenter Servers 2021 - SampleComputing for Datacenter Servers 2021 - Sample
Computing for Datacenter Servers 2021 - Sample
 
5G’s Impact on RF Front-End and Connectivity for Cellphones 2020
5G’s Impact on RF Front-End and Connectivity for Cellphones 20205G’s Impact on RF Front-End and Connectivity for Cellphones 2020
5G’s Impact on RF Front-End and Connectivity for Cellphones 2020
 
Ultrasound Sensing Technologies 2020
Ultrasound Sensing Technologies 2020Ultrasound Sensing Technologies 2020
Ultrasound Sensing Technologies 2020
 
Status of the Memory Industry 2020
Status of the Memory Industry 2020Status of the Memory Industry 2020
Status of the Memory Industry 2020
 
Status of the Radar Industry: Players, Applications and Technology Trends 2020
Status of the Radar Industry: Players, Applications and Technology Trends 2020Status of the Radar Industry: Players, Applications and Technology Trends 2020
Status of the Radar Industry: Players, Applications and Technology Trends 2020
 
GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020GaN RF Market: Applications, Players, Technology and Substrates 2020
GaN RF Market: Applications, Players, Technology and Substrates 2020
 
BioMEMS Market and Technology 2020
BioMEMS Market and Technology 2020BioMEMS Market and Technology 2020
BioMEMS Market and Technology 2020
 
Optical Transceivers for Datacom & Telecom 2020
Optical Transceivers for Datacom & Telecom 2020Optical Transceivers for Datacom & Telecom 2020
Optical Transceivers for Datacom & Telecom 2020
 
Point-of-Need 2020 – Including PCR-Based Testing
Point-of-Need 2020 – Including PCR-Based TestingPoint-of-Need 2020 – Including PCR-Based Testing
Point-of-Need 2020 – Including PCR-Based Testing
 
Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020Silicon Photonics Market & Technology 2020
Silicon Photonics Market & Technology 2020
 
Sensors for Robotic Mobility 2020
Sensors for Robotic Mobility 2020Sensors for Robotic Mobility 2020
Sensors for Robotic Mobility 2020
 
High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...
High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...
High-End Inertial Sensors for Defense, Aerospace and Industrial Applications ...
 
Emerging Non-Volatile Memory 2020 report by Yole Développement
Emerging Non-Volatile Memory 2020 report by Yole DéveloppementEmerging Non-Volatile Memory 2020 report by Yole Développement
Emerging Non-Volatile Memory 2020 report by Yole Développement
 
(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...
(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...
(x)PU: High-End CPU and GPU for Datacenter Applications 2020 report by Yole D...
 

Recently uploaded

CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):comworks
 
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenHervé Boutemy
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brandgvaughan
 
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo DayH2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo DaySri Ambati
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 3652toLead Limited
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek SchlawackFwdays
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxhariprasad279825
 
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfHyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfPrecisely
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostZilliz
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyAlfredo García Lavilla
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii SoldatenkoFwdays
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clashcharlottematthew16
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupFlorian Wilhelm
 
SAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxSAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxNavinnSomaal
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsMiki Katsuragi
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr BaganFwdays
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024Lorenzo Miniero
 

Recently uploaded (20)

CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):
 
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache Maven
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brand
 
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo DayH2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
 
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptxE-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptx
 
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfHyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easy
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko
 
Powerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time ClashPowerpoint exploring the locations used in television show Time Clash
Powerpoint exploring the locations used in television show Time Clash
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project Setup
 
SAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxSAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptx
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering Tips
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024
 

Advanced Packaging Role after Moore's Law

  • 1. Copyrights © Yole Développement SA. All rights reserved. 1 1 YOLE DEVELOPPEMENT FROM TECHNOLOGIES TO MARKET COLLABORATION INNOVATION NEW PERSPECTIVES Advanced Packaging Role after Moore’s Law Transition from Technology Node Era to Functionality Era 13 January 2016 Rozalia Beica
  • 2. Copyrights © Yole Développement SA. All rights reserved. 2 2  AP Growth Presentation Outline 2 Y O L E D E V E L O P P E M E N T 2 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T • Europea n Market  AP Platforms  WLCSP  Conclusions  Flip-Chip  Fan-Out  2.5D & 3D-IC  Market Drivers  Evolution  Platforms  Computing Trends
  • 3. Copyrights © Yole Développement SA. All rights reserved. 3 3 YOLE DEVELOPPEMENT Introduction YOLE DEVELOPPEMENT FROM TECHNOLOGIES TO MARKET Founded in 1998 in Lyon, France Introduction
  • 4. Copyrights © Yole Développement SA. All rights reserved. 4 4 Yole – A Group of Companies The company is involved in the following areas: From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Finance MEMS & Sensors Microfluidics & Bio Tech Photovoltaics LED & Compound Semi Materials Advanced Packaging Photonics Power Electronics Equipment Rev. Eng./Costing Intellectual PropertyMarket, technology & strategy consulting Manufacturing costs analysis Reverse engineering M&A operations Due diligences IP Analysis Patent Assessment Fundraising Maturation of companies IP Portfolio Management Innovation Mgmt.
  • 5. Copyrights © Yole Développement SA. All rights reserved. 5 5 Providing:  market analysis  technology evaluation  strategic analysis  business plan  finance  …. Consultancy across multiple fields and entire value chain Serving the Entire Value Chain across Multiple Fields Non-exhaustive list of clients From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Transportation makers Mobile & consumer electronics Automotive Medical systems Industrial & defense Energy From A to Z…
  • 6. Copyrights © Yole Développement SA. All rights reserved. 6 6 Providing:  market analysis  technology evaluation  strategic analysis  business plan  finance  …. Consultancy across multiple fields and entire value chain Serving the Entire Value Chain across Multiple Fields Non-exhaustive list of clients From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Integrators and end- users Device makers OSAT, foundries… R&D centers Financial Investores etc. Suppliers: Equipment & Materials
  • 7. Copyrights © Yole Développement SA. All rights reserved. 7 7 Yole’s research is focused on:  Applicative Packaging: moving to high performance, low cost, application driven packaging techniques  Advanced Packaging: moving to high performance, low cost, collective wafer level packaging techniques Semiconductor Packaging Camera Level Packaging Power Module Packaging MEMS Packaging LED Packaging Lead-Frame LCC Wirebonding BGA WLCSP 3D WLP SIP 3DIC QFN PGA Flip Chip POP FOWLP 2.5D Interposer 7 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T
  • 8. Copyrights © Yole Développement SA. All rights reserved. 8 8 Increased integration and flexible packaging solutions  Increased volumes  Growing applications  More users Computing Trends New Major Technology Cycles 10x More Users, Devices  Increased performance  Smaller form factor  Increased functionality  Reduced cost Mainframe Mini-computers PCs Desktop internet Mobile Internet Internet of Things 1MM+ 10MM+ 100MM+ 1Bill+ 10Bill+ 10Bill+ 1960 1970 1980 1990 2000 2010 2020 2030 2040…
  • 9. Copyrights © Yole Développement SA. All rights reserved. 9 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 9 Y O L E D E V E L O P P E M E N T http://ec.europa.eu/digital-agenda/en/about-mobility The Driving Forces are Changing From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Driver Mainframe computers Fixed personal computer Mobile Consumer Internet of Things and the Cloud Key success Parameters 1. Performance 2. Cost 1. Cost 2. Performance 1. Cost 2. Power 3. Performance 4. Size 1. Cost 2. Power 3. Latency 4. Bandwidth density 5. Size Adapted after Bill Bottoms – ECS 2014, Orlando Time Wired Wireless
  • 10. Copyrights © Yole Développement SA. All rights reserved. 10 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 10 Y O L E D E V E L O P P E M E N T Silicon / Interconnection trend 500 020406080100 Number I/O per cm² 20.000 10.000 1500 CMOS90 CMOS45 CMOS28 CMOS16 CMOS65 FinFET Technology Node CMOS [nm] Scaling of Transistor Nodes => I/Os Density Increase
  • 11. Copyrights © Yole Développement SA. All rights reserved. 11 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 11 Y O L E D E V E L O P P E M E N T  Continue with development of advanced technology nodes (follow Moore’s Law)  Develop new alternative technologies/packages (More than Moore) in order to be able to meet the market requirements: What Options Do We Have?  The CMOS transistors continue to shrink but at the increase of fab expenses.  Although performance is increasing, the same trend of reduced cost has reached its end
  • 12. Copyrights © Yole Développement SA. All rights reserved. 12 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 12 Y O L E D E V E L O P P E M E N T 3D Integration: Packaging Added Value Hybrid Memory Cube More Moore : miniaturization 130nm 90nm 65nm 45nm 32nm 22nm 14nm 10nm Analog +RF PassivesPowerSensorsEnergy Sources Biochips MoreThanMoore:diversification SoC SiP IPD RF CMOS SMOS Analog Power Low Power CMOS High Perf. SOI Non volatile Memory GaAs RF MEMS … 3DIC technology is seen today as a new paradigm for the future of the semiconductor industry, as it will enable several more decades of chip evolution at ever lower cost, higher performance and smaller-size features. …
  • 13. Copyrights © Yole Développement SA. All rights reserved. 13 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 13 Y O L E D E V E L O P P E M E N T Microelectronics Packaging Evolution Surface Mount Ball grid arrays SiPs WLCSP FC BGA PoP More SiPs WLCSP Flip-Chip Fan-Out Interposers 3DIC SiP 1980 TechnologyIntroduction GapFeaturesSivsPCB Through hole Mature 1970 1990 2000 2010 DIP, PGA SOP, QFP, PLCC CSPs/BGAs Today Established Emerging Feature sizes CMOS Bridging the Gap Between Si and PCB Processing Capabilities Feature sizes of PCBs
  • 14. Copyrights © Yole Développement SA. All rights reserved. 14 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 14 Y O L E D E V E L O P P E M E N T Advanced Packaging Evolution The growing and diversifying system requirements have continued to drive the development of a variety of new package styles and configurations:  Small-form-factor  Lightweight technology  Low-profile technology  High-pin-count technology  High-speed technology  High Reliability  Improved thermal management  Lower cost Increased functionality, speed, higher bandwidth, increased I/Os, … Complexity: Lead-frame based Packages Wirebonded FCBGA Through Silicon Via FCCSP PoP, PiP 3D Wirebonding …. 3D SiP Performance:
  • 15. Copyrights © Yole Développement SA. All rights reserved. 15 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 15 Y O L E D E V E L O P P E M E N T Advanced Packaging Platforms Leadframes QFN,QFP w/o IC substrates Fan-in Fan-out IC substrates-based BGA (organic substrate) W/B BGA Flip Chip BGA 3DIC Interposer based (Si, Glass, Org) SiP Embedded die (in substrate) PCB substrate Increased functionality, I/Os, integration complexity Interconnect: Single die Multiple Dies FO MCP Integration:2D 3D Embedded die (in substrate) 3D Stacking SiP Bumping, Pillars, Studs, Through-silicon-via, Bump-less, Embedded Technologies...
  • 16. Copyrights © Yole Développement SA. All rights reserved. 16 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 16 Y O L E D E V E L O P P E M E N T Fan-In WLCSP Market Drivers & Activities  Fan-in WLP maintains its appeal as the package that can provide 2 unmatchable advantages: • Reduced form factor • Low cost  Demand is reaching available capacity  Technology innovation in fan-in WLP continues: • Die size increases • Bump pitch reduces  Foundry involvement is no longer a dent in fan-in WLP production  Increased activity of Chinese capital on the market  New applications are emerging while other are declining • Disruptions also expected in the MEMS and CIS domains • Internet of Things Mobile sector is driving fan-in WLP production and growing IoT is on the horizon and is expected to have a significant impact on fan-in packages and the packaging industry as a whole Mobile
  • 17. Copyrights © Yole Développement SA. All rights reserved. 17 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 17 Y O L E D E V E L O P P E M E N T CPU Drivers Devices packaged using ‘Fan-in’ WLP Discrete passives Devices using other packaging technologies (not ‘Fan-in’ WLP WLCSP ICs in Handsets WLCSP 27% Others 73% WLCSP 35% Others 65% WLCSP 33% Others 67% Samsung Galaxy S6 Huawei Ascend Mate 7 iPhone 6+  Avg. 30% WLCSP packages in the latest high end smartphones!
  • 18. Copyrights © Yole Développement SA. All rights reserved. 18 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 18 Y O L E D E V E L O P P E M E N T Flip Chip Market Drivers & Benefits CPUs / GPUs/chipsets, the earlier adopters of flip chip Has transitioned to other devices – due to several benefits it can bring
  • 19. Copyrights © Yole Développement SA. All rights reserved. 19 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 19 Y O L E D E V E L O P P E M E N T Flip Chip Markets  Applied across several different markets …and much more! Consumer Automotive Medical Industrial Mobile/wireless Computing Smartphones Tablets Laptops UHD TV Game Station Desktop PC Set-up Box Cars Ultrasonic Handler Servers
  • 20. Copyrights © Yole Développement SA. All rights reserved. 20 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 20 Y O L E D E V E L O P P E M E N T Bumping in 2.5D/3DIC Package Bumps/Cu Pillars: 40-250µm PCB BGA Substrate 2.5D Silicon interposer X-PU Logic Die Memory stack 400-800µm µ-Bumps: 10-40µm µ-Bumps 20-80µm 1 2 3 BGA balls  broad range of bumps, from larger BGAs (connection to the substrate) to very small microbumps used in stacking the memories 4
  • 21. Copyrights © Yole Développement SA. All rights reserved. 21 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 21 Y O L E D E V E L O P P E M E N T Top Players in 2014! 2014 (#1playe r) Bumping & Assembly location TAIWAN Advanced Underfill HENKEL Flip chip bonder BESI Solder ECD bumping SPIL Gold bumping ChipBOND Cu pillar bumping INTEL Top Players
  • 22. Copyrights © Yole Développement SA. All rights reserved. 22 22 Fan-Out vs. Fan-In and Flip-Chip 1 2 3 5 Package/IC size ratio* Fan-in WLCSP Fan-out WLCSP Flip Chip This limit will move to higher ratios as eWLB production moves from 300mm wafers to large panels and the cost of eWLB/fan-out moves lowers. 4 6 IC IC IC Advantages of Fan-Out vs. Fan - In • Higher board-level reliability • Bumping is not limited to the die size, fan-out area beyond chip area limitation • Built-in back-side protection (protection available for WLCSP as an option only) • Lower thermal resistance • Higher potential for SiP integration vs. FCBGA • Smaller footprint • No substrate/interposer  Shorter interconnections • Higher electrical performance • lower cost • thinner package • Lower thermal resistance • Higher potential for SiP and 3D integration
  • 23. Copyrights © Yole Développement SA. All rights reserved. 23 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 23 Y O L E D E V E L O P P E M E N T FOWLP End Applications Mobile and wireless applications are the main markets for FOWLP  LGE was the first OEM to integrate the eWLB to the wireless baseband in the following models: • PMB8810 phone, T310 phone, T300 phone, GD350 phone, GB220 phone, GB230 phone, GS170 phone, GU230 phones  We can also find eWLB in Samsung cell phones (baseband modem) • Galaxy Tab tablet, Galaxy S phones  Some Nokia phones use eWLB for the baseband modem and RF transceiver Extension of the technology platform to a wider field of application areas is starting  FOWLP is starting to be integrated into automotive and medical applications  Infineon is using it for its 77GHz RADAR System IC Chipset (RASIC™) • SiGe transmitter and receiver used by Bosch  Analogic Ultra Sound is using it for its Sonic Window handheld ultrasound device made for vascular observation • 10 dies embedded, 33*28 mm² package 2012 2013 20142011
  • 24. Copyrights © Yole Développement SA. All rights reserved. 2424 Fan-Out Applications Typical view of a smart phone board RF SiP Digital SiP Sensor SiP Mixed Signal SiP SiP Modules: BGA/PoP/QFN/TSOP DC/DC converters Drivers IPD ESD/EMI Stand-alone chips: WL-CSP, SOT, QFN, UTLP, BGA Discrete passives Blue: Devices that can be found in FOWLP packages today Discrete passives Yellow: Devices that could be found in the future in FOWLP Grey: Devices that will likelyremain on WLCSP or flip-chip package or move to 3DIC or Embedded die TODAY TOMORROW From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved
  • 25. Copyrights © Yole Développement SA. All rights reserved. 25 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 25 Y O L E D E V E L O P P E M E N T First Fan-out WLP Technologies eWLB RCP 59%25% 16% Main products: - Single Die: Mobile and Wireless - BB and Wireless SoC, RF, PMIC - MCP/SiP products for Mobile (PMU), Industrial, Medical and Security applications Main products: - Mobile and Wireless  BB and Wireless SoC - RF Transceivers - ASIC Others Total 2014 $174M Wider adoption
  • 26. Copyrights © Yole Développement SA. All rights reserved. 26 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 26 Y O L E D E V E L O P P E M E N T $0M $500M $1,000M $1,500M $2,000M $2,500M 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 TOTAL $80 $115 $131 $158 $174 $244 $790 $1,223 $1,573 $1,993 $2,391 TSMC addition Mobile $471 $814 $1,088 $1,391 $1,715 TOTAL without TSMC $80 $115 $131 $158 $174 $244 $318 $409 $485 $602 $676 FO-WLPRevenues(M$) FOWLP activity Revenues (M$) Overall evolution since eWLB technology introduction Yole Developpement © Sep 2015 FO WLP Market Forecast CAGR ~ 55% CAGR ~ 15% Transition plateau • Entry of A10 APE of iPhone7, 7+ and newer from 2016! • Previous 2014-2020 CAGR was rated at 25%, while new 2014-2020 CAGR is estimated at 55%! • After the jump, further 2016-2020 CAGR estimated at 32% • Market estimated to exceed 2B$ by 2020 APPLE/TSMC entry
  • 27. Copyrights © Yole Développement SA. All rights reserved. 27 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 27 Y O L E D E V E L O P P E M E N T 650x830mm – Gen 4 LCD 650x650mm – WLP/LCD/PCB 2009 - 2014 2015 2016 2017 > 20182018 450mm FOWLP 1st-gen - single die • BB SoC • RF Transceiver • ASIC • PMIC High yield Semiconductor WLP infrastructure Fusion WLP/PCB/LCD infrastructures ? 204x508mm (8”x20” ) - Semi/PCB laminate substrate 500x650mm – PCB laminate 470x370mm – LCD Gen 2 FOWLP 2nd-gen - MCP/SiP/PoP • DRAM memory • NAND Flash memory • APE/BB modem • RF Tx, RF connectivity • PMU/PMIC • GPS • MOEMS 330mm Fan-out Infrastructure Evolution
  • 28. Copyrights © Yole Développement SA. All rights reserved. 28 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 28 Y O L E D E V E L O P P E M E N T Drivers and Trends for 2.5D & 3DIC Evolution or Revolution? 3DIC / 3D SiP2D SOC “All-in-One chip system integration” All functions on 28nm lithography  Chip area ↑, Cost ↑ MEMS 130 nm 200 mm Memory 45 nm 300 mm Logic 20 nm 450 mm Analog 90 nm 300 mm 3D enables integration of heterogeneous functions: • On different lithography nodes • On different wafer sizes • In different wafer fabs • By different players  Cost ↓, Performance ↑, Size ↓ Time to Market ↓, Flexibility ↑ Market Drivers
  • 29. Copyrights © Yole Développement SA. All rights reserved. 29 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 29 Y O L E D E V E L O P P E M E N T Photonics Others (Power, LED, RF…) Memory TSV in a nutshell MEMS Logic CMOS Image Sensors Through Silicon Via TSV
  • 30. Copyrights © Yole Développement SA. All rights reserved. 30 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 30 Y O L E D E V E L O P P E M E N T 2.5/3DIC Commercial Announcements!  Several product announcements using TSV 2014 2011 2015 2017 2016 Nvidia Pascal Graphics Module EX-800 Blade Server using HMC New second generation Xenon Phi processor “Knights Landing” using HMC Next Generation PRIMEHPC POST FX10 CPU memory board using 8 HMC DDR4 3D Dual Inline Memory Modules (RDIMMs) First Heterogeneous 3D FPGA Virtex-7 H580T AMD R9 390X Graphics product with HBM built with 20nm technology 2013 2012 Altera 10 Generation FPGA using HMC Stacked NAND Flash Arria 10 Stratix 10 HMC HBM DiRAM4
  • 31. Copyrights © Yole Développement SA. All rights reserved. 31 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 31 Y O L E D E V E L O P P E M E N T Market Evolution 100µm 10µm 1µm 100nm 10nm PCB Design Rule Wafer Design Rule Organic Substrate Silicon/Glass Interposer ~8-> 5µm More functionalities and advanced technologies nodes OSAT / Wafer foundries Substrate Manufacturers High Cost Lower cost than Si/Glass Interposer Opportunity for organic interposers Opportunity for Fan-Out GAP! Competing technologies
  • 32. Copyrights © Yole Développement SA. All rights reserved. 32 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 32 Y O L E D E V E L O P P E M E N T System in Package  Enabled by various packaging platforms & interconnect technologies: • Wirebonding • Flip-Chip • Embedded technologies: ‒ Mold (fan-out) ‒ Laminate (embedded dies) • 2.5D/3DIC  Substrates: • Lead-frames • Laminates • LTCC substrates  Protective features: • Metal lids • Overmold encapsulation • EMI shielding Source: ASE Side-by-side (Fan-Out) Stacked (PoP/PiP) Embedded die in laminate
  • 33. Copyrights © Yole Développement SA. All rights reserved. 33 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 33 Y O L E D E V E L O P P E M E N T Major Benefits and Markets  Smaller form factor  Increased flexibility  Integrating different technologies  Higher performance: signal propagation, power dissipation, noise and EMC performance  Faster time-to-market  Lower cost  High added value  IP protection  Wireless communications  Consumer  Automotive  IoT (connectivity)  Medical  ... Various Markets
  • 34. Copyrights © Yole Développement SA. All rights reserved. 34 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 34 Y O L E D E V E L O P P E M E N T Leadframes QFN,QFP w/o IC substrates Fan-in Fan-out IC substrates-based BGA (organic substrate) W/B BGA Flip Chip BGA 3DIC Interposer based (Si, Glass, Org) SiP Embedded die (in substrate) SiP Positioning in Advance Packaging PCB substrate Increased functionality, performance… Interconnect: Single die Multiple Dies Integration:2D 3D Embedded die (in substrate) Bumping, Pillas, Studs, Through-silicon-via, Bump-less, Embedded Technologies... Platform enabling functionality through the use of various packaging techniques
  • 35. Copyrights © Yole Développement SA. All rights reserved. 35 From TECHNOLOGIES to MARKET Copyright @ Yole Developpement SA.. All rights reserved Y O L E D E V E L O P P E M E N T 35 Y O L E D E V E L O P P E M E N T  High interest in the industry in several packaging platforms: Conclusions and Future Perspectives  Fan-in – stable growth, still driven by low cost and introduction of new consumer applications requiring low cost and pin count  Flip-chip – will continue to grow, but expected to loose overall market share to platforms such as WLCSP, Fan- Out and 3DIC. Cu Pillar will continue to be the dominant flip chip metallurgy  Fan-Out – very promising platforms already established in production. Currently using wafer infrastructure, may move to panel manufacturing in the future  2.5D & 3DIC – finally in production and adoption expected to further grow. Fan-out could be a disruptive technology for consumer applications  SiP – enabling functionality packaging in a more flexible way and with a faster time to market. Expected to have a significant growth  Advanced Packaging will continue to grow – driven by consumer & IoT
  • 36. Copyrights © Yole Développement SA. All rights reserved. 36 36 Thank you! YOLE DEVELOPPEMENT COLLABORATION INNOVATION NEW PERSPECTIVES For additional information visit our websites: www.yole.fr & www.i-micronews.com Online free registration to YOLE publications Please visit us at booth # E5813