SlideShare a Scribd company logo
1 of 28
Explain Half Adder and Full
Adder with Truth Table
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
 An adder is a digital logic circuit in electronics that implements addition of
numbers. In many computers and other types of processors, adders are used to
calculate addresses, similar operations and table indices in the ALU and also in
other parts of the processors. These can be built for many numerical
representations like excess-3 or binary coded decimal.
Introduction
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
Adders Are Classified Into Two Types
 Half Adder and Full Adder .
 The half adder circuit has two inputs: A and B.
 It add two input digits and generate a carry and sum.
 The full adder circuit has three inputs: A, B and C.
 It add the three input numbers and generate a carry and sum.
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
Adders Are Classified Into Two Types
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
What is Half Adder and Full Adder Circuit
 The half adder adds two binary digits called as augend and addend.
 Half adder produces two outputs as sum and carry.
 XOR is applied to both inputs to produce sum.
 OR gate is applied to both inputs to produce carry.
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
What is Half Adder and Full Adder Circuit
 The full adder adds 3 one bit numbers.
 Where two can be referred to as operands.
 One can be referred to as bit carried in.
 It produces 2-bit output, and these can be referred to as output
carry and sum.
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
Half Adder
 Using Half Adder, you can design simple addition with the help
of logic gates.
 Let’s see an addition of single bits.
0+0 = 0
0+1 = 1
1+0 = 1
1+1 = 10
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
Half Adder
 These are the least possible single-bit combinations. But the result for 1+1
is 10, the sum result must be re-written as a 2-bit output. Thus, the
equations can be written as
0+0 = 00
0+1 = 01
1+0 = 01
1+1 = 10
 The output ‘1’of ‘10’ is carry-out. ‘SUM’ is the normal output and ‘CARRY’
is the carry-out.
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
Half Adder
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
Half Adder Truth Table
 1-bit adder can be easily implemented with the help of the XOR Gate for
the output ‘SUM’ and an AND Gate for the ‘Carry’.
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
Half Adder Truth Table
 When we need to add, two 8-bit bytes together, it can be done with the help
of a full-adder logic.
 The half-adder is useful when you want to add one binary digit quantities.
 A way to develop a two-binary digit adders would be to make a truth table
and reduce it.
 When you want to make a three binary digit adder, do it again.
 When you decide to make a four digit adder, do it again.
 The circuits would be fast, but development time is slow.
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
Half Adder Truth Table
Image Of Half Adder Logic Circuit
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
Half Adder Truth Table
 The simplest expression uses the exclusive OR function
Sum=AÅB
 An equivalent expression in terms of the basic AND, OR, and NOT is
SUM=A|.B+A.B’
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
Half Adder Truth Table
VHDL Code For half Adder:
entity ha is
Port (a: in STD_LOGIC;
b : in STD_LOGIC;
sha : out STD_LOGIC;
cha : out STD_LOGIC);
end ha;
Architecture Behavioral of ha is
begin
sha <= a xor b ;
cha <= a and b ;
end Behavioral
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
Full Adder
 Full adder is difficult to implement than a half-adder.
 The difference between a half-adder and a full-adder is that the full-adder
has three inputs and two outputs.
 Whereas half adder has only two inputs and two outputs.
 The first two inputs are A and B and the third input is an input carry as C-
IN.
 When a full-adder logic is designed, you string eight of them together to
create a byte-wide adder and cascade the carry bit from one adder to the
next.
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
Full Adder
 The output carry is designated as C-OUT and the normal output is
designated as S.
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
Full Adder Truth Table
 The full adder logic can be implemented with the truth table.
 The output S is an XOR between the input A and the half-adder, SUM
output with B and C-IN inputs.
 Take C-OUT will only be true if any of the two inputs out of the three are
HIGH.
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
Full Adder Truth Table
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
Full Adder Logic Circuit
 We can implement a full adder circuit with the help of two half adder circuits.
 First, half adder will be used to add A and B to produce a partial Sum.
 A second half adder logic can be used to add C-IN to the Sum produced by the
first half adder to get the final S output.
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
Full Adder Logic Circuit
 If any of the half adder logic produces a carry, there will be an output carry.
 A COUT will be an OR function of the half-adder Carry outputs.
 The implementation of larger logic diagrams is possible with full adder logic.
 A simpler schematic representation of a one-bit full adder.
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
Full Adder Logic Circuit
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
Simpler Schematic Representation of a One-Bit Full Adder
Full Adder Design Using Half Adders
 With this type of symbol, we can add two bits together.
 Taking a carry from the next lower order of magnitude, and sending a carry to
the next higher order of magnitude.
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
Full Adder Truth Table
 In a computer, for a multi-bit operation.
 Each bit must be represented by a full adder and must be added
simultaneously.
 To add two 8-bit numbers, you will need 8 full adders which can be formed
by cascading two of the 4-bit blocks.
 Combinational circuit combines the different gates in the circuit.
 Example are encoder, decoder, multiplexer and de multiplexer.
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
Full Adder Truth Table
Characteristics of combinational circuits are as follows.
 The output at any instant of time, depends only on the levels present at
input terminals.
 It does not use any memory. The previous state of input does not have any
effect on the present state of the circuit.
 It can have a number of inputs and m number of outputs.
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
The relationship between the Full-Adder and the Half-Adder:
 Half adder produces results and full adder uses half adder to produce
some other result.
 Similarly, the Full-Adder is of two Half-Adders.
 The Full-Adder is the actual block that we use to create the arithmetic
circuits.
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
VHDL Coding for Full Adder
entity full_add is
Port ( a : in STD_LOGIC;
b : in STD_LOGIC;
cin : in STD_LOGIC;
sum : out STD_LOGIC;
cout : out STD_LOGIC);
end full_add;
Full Adder Truth Table
http://www.elprocus.com/
Explain Half Adder and Full Adder with
Truth Table
VHDL Coding for Full Adder
Architecture Behavioral of full_add is
component ha is
Port ( a : in STD_LOGIC;
b : in STD_LOGIC;
sha : out STD_LOGIC;
cha : out STD_LOGIC);
end component;
signal s_s,c1,c2: STD_LOGIC ;
begin
HA1:ha port map(a,b,s_s,c1);
HA2:ha port map (s_s,cin,sum,c2);
cout<=c1 or c2 ;
end Behavioral;
http://www.elprocus.com/

More Related Content

What's hot

What's hot (20)

MULTIPLEXER
MULTIPLEXERMULTIPLEXER
MULTIPLEXER
 
Adder ppt
Adder pptAdder ppt
Adder ppt
 
Computer Organization And Architecture lab manual
Computer Organization And Architecture lab manualComputer Organization And Architecture lab manual
Computer Organization And Architecture lab manual
 
SEQUENTIAL AND COMBINATIONAL CIRCUITS,DIGITAL LOGIC DESIGN
SEQUENTIAL AND COMBINATIONAL CIRCUITS,DIGITAL LOGIC DESIGNSEQUENTIAL AND COMBINATIONAL CIRCUITS,DIGITAL LOGIC DESIGN
SEQUENTIAL AND COMBINATIONAL CIRCUITS,DIGITAL LOGIC DESIGN
 
BOOLEAN ALGEBRA AND LOGIC GATE
BOOLEAN ALGEBRA AND LOGIC GATE BOOLEAN ALGEBRA AND LOGIC GATE
BOOLEAN ALGEBRA AND LOGIC GATE
 
Digital Electronics viva and interview questions-min.pdf
Digital Electronics viva and interview questions-min.pdfDigital Electronics viva and interview questions-min.pdf
Digital Electronics viva and interview questions-min.pdf
 
Logic gates and NAND and NOR univarsal gates
Logic gates and NAND and NOR univarsal gatesLogic gates and NAND and NOR univarsal gates
Logic gates and NAND and NOR univarsal gates
 
Digital Logic circuit
Digital Logic circuitDigital Logic circuit
Digital Logic circuit
 
Combinational circuit
Combinational circuitCombinational circuit
Combinational circuit
 
Logic gates
Logic gatesLogic gates
Logic gates
 
Fulll Adder
Fulll AdderFulll Adder
Fulll Adder
 
basic logic gates
 basic logic gates basic logic gates
basic logic gates
 
Encoder & Decoder
Encoder & DecoderEncoder & Decoder
Encoder & Decoder
 
Half adder and full adder
Half adder and full adderHalf adder and full adder
Half adder and full adder
 
What is Adder-Half and Full Adder
What is Adder-Half and Full AdderWhat is Adder-Half and Full Adder
What is Adder-Half and Full Adder
 
Adder & subtractor (Half adder, Full adder, Half subtractor, Full subtractor)
Adder & subtractor (Half adder, Full adder, Half subtractor, Full subtractor)Adder & subtractor (Half adder, Full adder, Half subtractor, Full subtractor)
Adder & subtractor (Half adder, Full adder, Half subtractor, Full subtractor)
 
Switch level modeling
Switch level modelingSwitch level modeling
Switch level modeling
 
Counters
CountersCounters
Counters
 
Universal gate BY Abdullah
Universal gate BY AbdullahUniversal gate BY Abdullah
Universal gate BY Abdullah
 
Presentation On Logic Gate
Presentation On Logic Gate Presentation On Logic Gate
Presentation On Logic Gate
 

Similar to Explain Half Adder and Full Adder with Truth Table

Introduction number systems and conversion
 Introduction number systems and conversion Introduction number systems and conversion
Introduction number systems and conversion
kanyuma jitjumnong
 
Adapted from Harris & Harris Digital Design and Computer Arch.docx
Adapted from Harris & Harris Digital Design and Computer Arch.docxAdapted from Harris & Harris Digital Design and Computer Arch.docx
Adapted from Harris & Harris Digital Design and Computer Arch.docx
nettletondevon
 

Similar to Explain Half Adder and Full Adder with Truth Table (20)

Adder Basic
Adder BasicAdder Basic
Adder Basic
 
Zah architecture 123
Zah architecture 123Zah architecture 123
Zah architecture 123
 
Half Adder & Full Adder
Half Adder & Full AdderHalf Adder & Full Adder
Half Adder & Full Adder
 
Adders(half aders and full adder with explanation , truth table and circuit d...
Adders(half aders and full adder with explanation , truth table and circuit d...Adders(half aders and full adder with explanation , truth table and circuit d...
Adders(half aders and full adder with explanation , truth table and circuit d...
 
Half Adder - Combinational Circuit
Half Adder - Combinational CircuitHalf Adder - Combinational Circuit
Half Adder - Combinational Circuit
 
Half adder and full adder
Half adder and full adderHalf adder and full adder
Half adder and full adder
 
Parallel Adder
Parallel Adder Parallel Adder
Parallel Adder
 
1d-HALF ADDER & FULL ADDER-PPT.pdf
1d-HALF ADDER & FULL ADDER-PPT.pdf1d-HALF ADDER & FULL ADDER-PPT.pdf
1d-HALF ADDER & FULL ADDER-PPT.pdf
 
Half & Full Adder Adder Logic Circuit.pptx
Half & Full Adder  Adder Logic Circuit.pptxHalf & Full Adder  Adder Logic Circuit.pptx
Half & Full Adder Adder Logic Circuit.pptx
 
8 bit Multiplier Accumulator
8 bit Multiplier Accumulator8 bit Multiplier Accumulator
8 bit Multiplier Accumulator
 
Adder Presentation
Adder PresentationAdder Presentation
Adder Presentation
 
Lect 1 unit 2.pdf
Lect 1 unit 2.pdfLect 1 unit 2.pdf
Lect 1 unit 2.pdf
 
Half adder and full adder | Digital electronics | engineering
Half adder  and full adder | Digital electronics | engineeringHalf adder  and full adder | Digital electronics | engineering
Half adder and full adder | Digital electronics | engineering
 
Report adders
Report addersReport adders
Report adders
 
Introduction number systems and conversion
 Introduction number systems and conversion Introduction number systems and conversion
Introduction number systems and conversion
 
dpss 2.pptx
dpss 2.pptxdpss 2.pptx
dpss 2.pptx
 
Adder and subtrctor DLD
Adder and subtrctor  DLDAdder and subtrctor  DLD
Adder and subtrctor DLD
 
Adapted from Harris & Harris Digital Design and Computer Arch.docx
Adapted from Harris & Harris Digital Design and Computer Arch.docxAdapted from Harris & Harris Digital Design and Computer Arch.docx
Adapted from Harris & Harris Digital Design and Computer Arch.docx
 
Lecturer mid.ppt
Lecturer mid.pptLecturer mid.ppt
Lecturer mid.ppt
 
Hardware Design of an or Arithmetic Logic Unit.ppt
Hardware Design of an or  Arithmetic Logic Unit.pptHardware Design of an or  Arithmetic Logic Unit.ppt
Hardware Design of an or Arithmetic Logic Unit.ppt
 

More from elprocus

More from elprocus (20)

Different electronics circuit design process
Different electronics circuit design processDifferent electronics circuit design process
Different electronics circuit design process
 
Classification of power supply and its different types
Classification of power supply and its different typesClassification of power supply and its different types
Classification of power supply and its different types
 
How to Choose the Right Microcontrollers For Your Embedded Projects
How to Choose the Right Microcontrollers For Your Embedded ProjectsHow to Choose the Right Microcontrollers For Your Embedded Projects
How to Choose the Right Microcontrollers For Your Embedded Projects
 
What are the different types of arduino boards
What are the different types of arduino boardsWhat are the different types of arduino boards
What are the different types of arduino boards
 
Complex Programmable Logic Device (CPLD) Architecture and Its Applications
Complex Programmable Logic Device (CPLD) Architecture and Its ApplicationsComplex Programmable Logic Device (CPLD) Architecture and Its Applications
Complex Programmable Logic Device (CPLD) Architecture and Its Applications
 
Designing process of printed circuit boards
Designing process of printed circuit boardsDesigning process of printed circuit boards
Designing process of printed circuit boards
 
Construction of mica capacitor and its application
Construction of mica capacitor and its applicationConstruction of mica capacitor and its application
Construction of mica capacitor and its application
 
Difference between npn and pnp transistor.pptx
Difference between npn and pnp transistor.pptxDifference between npn and pnp transistor.pptx
Difference between npn and pnp transistor.pptx
 
What are the factors we should check before selecting a capacitor
What are the factors we should check before selecting a capacitorWhat are the factors we should check before selecting a capacitor
What are the factors we should check before selecting a capacitor
 
Hartley oscillator circuit theory working and application
Hartley oscillator circuit theory working and applicationHartley oscillator circuit theory working and application
Hartley oscillator circuit theory working and application
 
How mobile phone jammer works
How mobile phone jammer worksHow mobile phone jammer works
How mobile phone jammer works
 
Different types of transistors and their functions
Different types of transistors and their functionsDifferent types of transistors and their functions
Different types of transistors and their functions
 
What are the methods of soldering electronic components
What are the methods of soldering electronic componentsWhat are the methods of soldering electronic components
What are the methods of soldering electronic components
 
Varactor diode or varicap diode working and applications
Varactor diode or varicap diode working and applicationsVaractor diode or varicap diode working and applications
Varactor diode or varicap diode working and applications
 
Bipolar junction transistors working principle and applications
Bipolar junction transistors working principle and applicationsBipolar junction transistors working principle and applications
Bipolar junction transistors working principle and applications
 
Basics of bypass capacitor, its functions and applications
Basics of bypass capacitor, its functions and applicationsBasics of bypass capacitor, its functions and applications
Basics of bypass capacitor, its functions and applications
 
Schottky diode working and applications
Schottky diode working and applicationsSchottky diode working and applications
Schottky diode working and applications
 
Tactile sensor working and its types
Tactile sensor working and its typesTactile sensor working and its types
Tactile sensor working and its types
 
Different types of thyristors and their applications
Different types of thyristors and their applicationsDifferent types of thyristors and their applications
Different types of thyristors and their applications
 
Overview of Crystal Oscillator Circuit Working and Its Application
Overview of Crystal Oscillator Circuit Working and Its ApplicationOverview of Crystal Oscillator Circuit Working and Its Application
Overview of Crystal Oscillator Circuit Working and Its Application
 

Recently uploaded

1029 - Danh muc Sach Giao Khoa 10 . pdf
1029 -  Danh muc Sach Giao Khoa 10 . pdf1029 -  Danh muc Sach Giao Khoa 10 . pdf
1029 - Danh muc Sach Giao Khoa 10 . pdf
QucHHunhnh
 

Recently uploaded (20)

UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdfUGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
 
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
 
Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...
 
Asian American Pacific Islander Month DDSD 2024.pptx
Asian American Pacific Islander Month DDSD 2024.pptxAsian American Pacific Islander Month DDSD 2024.pptx
Asian American Pacific Islander Month DDSD 2024.pptx
 
SOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning PresentationSOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning Presentation
 
Unit-V; Pricing (Pharma Marketing Management).pptx
Unit-V; Pricing (Pharma Marketing Management).pptxUnit-V; Pricing (Pharma Marketing Management).pptx
Unit-V; Pricing (Pharma Marketing Management).pptx
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdf
 
Holdier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfHoldier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdf
 
Mixin Classes in Odoo 17 How to Extend Models Using Mixin Classes
Mixin Classes in Odoo 17  How to Extend Models Using Mixin ClassesMixin Classes in Odoo 17  How to Extend Models Using Mixin Classes
Mixin Classes in Odoo 17 How to Extend Models Using Mixin Classes
 
This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.
 
How to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POSHow to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POS
 
Magic bus Group work1and 2 (Team 3).pptx
Magic bus Group work1and 2 (Team 3).pptxMagic bus Group work1and 2 (Team 3).pptx
Magic bus Group work1and 2 (Team 3).pptx
 
How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17
 
1029 - Danh muc Sach Giao Khoa 10 . pdf
1029 -  Danh muc Sach Giao Khoa 10 . pdf1029 -  Danh muc Sach Giao Khoa 10 . pdf
1029 - Danh muc Sach Giao Khoa 10 . pdf
 
Micro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdfMicro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdf
 
ICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptx
 
Introduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The BasicsIntroduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The Basics
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy Consulting
 
How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17How to Create and Manage Wizard in Odoo 17
How to Create and Manage Wizard in Odoo 17
 
SKILL OF INTRODUCING THE LESSON MICRO SKILLS.pptx
SKILL OF INTRODUCING THE LESSON MICRO SKILLS.pptxSKILL OF INTRODUCING THE LESSON MICRO SKILLS.pptx
SKILL OF INTRODUCING THE LESSON MICRO SKILLS.pptx
 

Explain Half Adder and Full Adder with Truth Table

  • 1. Explain Half Adder and Full Adder with Truth Table
  • 2. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table  An adder is a digital logic circuit in electronics that implements addition of numbers. In many computers and other types of processors, adders are used to calculate addresses, similar operations and table indices in the ALU and also in other parts of the processors. These can be built for many numerical representations like excess-3 or binary coded decimal. Introduction
  • 3. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table Adders Are Classified Into Two Types  Half Adder and Full Adder .  The half adder circuit has two inputs: A and B.  It add two input digits and generate a carry and sum.  The full adder circuit has three inputs: A, B and C.  It add the three input numbers and generate a carry and sum.
  • 4. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table Adders Are Classified Into Two Types
  • 5. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table What is Half Adder and Full Adder Circuit  The half adder adds two binary digits called as augend and addend.  Half adder produces two outputs as sum and carry.  XOR is applied to both inputs to produce sum.  OR gate is applied to both inputs to produce carry.
  • 6. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table What is Half Adder and Full Adder Circuit  The full adder adds 3 one bit numbers.  Where two can be referred to as operands.  One can be referred to as bit carried in.  It produces 2-bit output, and these can be referred to as output carry and sum.
  • 7. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table Half Adder  Using Half Adder, you can design simple addition with the help of logic gates.  Let’s see an addition of single bits. 0+0 = 0 0+1 = 1 1+0 = 1 1+1 = 10
  • 8. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table Half Adder  These are the least possible single-bit combinations. But the result for 1+1 is 10, the sum result must be re-written as a 2-bit output. Thus, the equations can be written as 0+0 = 00 0+1 = 01 1+0 = 01 1+1 = 10  The output ‘1’of ‘10’ is carry-out. ‘SUM’ is the normal output and ‘CARRY’ is the carry-out.
  • 9. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table Half Adder
  • 10. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table Half Adder Truth Table  1-bit adder can be easily implemented with the help of the XOR Gate for the output ‘SUM’ and an AND Gate for the ‘Carry’.
  • 11. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table Half Adder Truth Table  When we need to add, two 8-bit bytes together, it can be done with the help of a full-adder logic.  The half-adder is useful when you want to add one binary digit quantities.  A way to develop a two-binary digit adders would be to make a truth table and reduce it.  When you want to make a three binary digit adder, do it again.  When you decide to make a four digit adder, do it again.  The circuits would be fast, but development time is slow.
  • 12. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table Half Adder Truth Table Image Of Half Adder Logic Circuit
  • 13. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table Half Adder Truth Table  The simplest expression uses the exclusive OR function Sum=AÅB  An equivalent expression in terms of the basic AND, OR, and NOT is SUM=A|.B+A.B’
  • 14. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table Half Adder Truth Table VHDL Code For half Adder: entity ha is Port (a: in STD_LOGIC; b : in STD_LOGIC; sha : out STD_LOGIC; cha : out STD_LOGIC); end ha; Architecture Behavioral of ha is begin sha <= a xor b ; cha <= a and b ; end Behavioral
  • 15. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table Full Adder  Full adder is difficult to implement than a half-adder.  The difference between a half-adder and a full-adder is that the full-adder has three inputs and two outputs.  Whereas half adder has only two inputs and two outputs.  The first two inputs are A and B and the third input is an input carry as C- IN.  When a full-adder logic is designed, you string eight of them together to create a byte-wide adder and cascade the carry bit from one adder to the next.
  • 16. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table Full Adder  The output carry is designated as C-OUT and the normal output is designated as S.
  • 17. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table Full Adder Truth Table  The full adder logic can be implemented with the truth table.  The output S is an XOR between the input A and the half-adder, SUM output with B and C-IN inputs.  Take C-OUT will only be true if any of the two inputs out of the three are HIGH.
  • 18. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table Full Adder Truth Table
  • 19. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table Full Adder Logic Circuit  We can implement a full adder circuit with the help of two half adder circuits.  First, half adder will be used to add A and B to produce a partial Sum.  A second half adder logic can be used to add C-IN to the Sum produced by the first half adder to get the final S output.
  • 20. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table Full Adder Logic Circuit  If any of the half adder logic produces a carry, there will be an output carry.  A COUT will be an OR function of the half-adder Carry outputs.  The implementation of larger logic diagrams is possible with full adder logic.  A simpler schematic representation of a one-bit full adder.
  • 21. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table Full Adder Logic Circuit
  • 22. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table Simpler Schematic Representation of a One-Bit Full Adder Full Adder Design Using Half Adders  With this type of symbol, we can add two bits together.  Taking a carry from the next lower order of magnitude, and sending a carry to the next higher order of magnitude.
  • 23. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table Full Adder Truth Table  In a computer, for a multi-bit operation.  Each bit must be represented by a full adder and must be added simultaneously.  To add two 8-bit numbers, you will need 8 full adders which can be formed by cascading two of the 4-bit blocks.  Combinational circuit combines the different gates in the circuit.  Example are encoder, decoder, multiplexer and de multiplexer.
  • 24. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table Full Adder Truth Table Characteristics of combinational circuits are as follows.  The output at any instant of time, depends only on the levels present at input terminals.  It does not use any memory. The previous state of input does not have any effect on the present state of the circuit.  It can have a number of inputs and m number of outputs.
  • 25. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table The relationship between the Full-Adder and the Half-Adder:  Half adder produces results and full adder uses half adder to produce some other result.  Similarly, the Full-Adder is of two Half-Adders.  The Full-Adder is the actual block that we use to create the arithmetic circuits.
  • 26. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table VHDL Coding for Full Adder entity full_add is Port ( a : in STD_LOGIC; b : in STD_LOGIC; cin : in STD_LOGIC; sum : out STD_LOGIC; cout : out STD_LOGIC); end full_add; Full Adder Truth Table
  • 27. http://www.elprocus.com/ Explain Half Adder and Full Adder with Truth Table VHDL Coding for Full Adder Architecture Behavioral of full_add is component ha is Port ( a : in STD_LOGIC; b : in STD_LOGIC; sha : out STD_LOGIC; cha : out STD_LOGIC); end component; signal s_s,c1,c2: STD_LOGIC ; begin HA1:ha port map(a,b,s_s,c1); HA2:ha port map (s_s,cin,sum,c2); cout<=c1 or c2 ; end Behavioral;