SlideShare a Scribd company logo
1 of 36
IMPLEMENTATION STRATEGIES FOR
DIGITAL ICS
GROUP MEMBERS
● NAYYAR
● SIDRA
● MAJIDA
TABLE OF CONTENTS
● INTRODUCTION
● VLSI DESIGN CYCLE
● MOORE’S LAW
● PRODUCTIVITY GROWTH RATE
● DESIGN IMPLEMENTATION STRATEGIES
● COMPARISON
● DISCUSSION
VLSI
VLSI – Very Large Scale Integration
Refers to the many fields of electrical and computer
engineering that deal with the analysis and design of very
dense electronic integrated circuits
VLSI DESIGN CYCLE
SYSTEM SPECIFICATION
FUNCTION DESIGN
LOGIC DESIGN
CIRCUIT DESIGN
PHYSICAL DESIGN
DESIGN VERIFICATION
FABRICATION
PACKAGING,TESTING AND
DEBUGGING
A SIMPLE PROCESSOR
MEMORY
DATAPATH
CONTROL
INPUT-OUTPUT
BUILDING BLOCKS FOR DIGITALARCHITECTURE
Arithmetic unit
Bit-sliced data path ( Adder, comparator, shifter, multiplier etc.)
Memory
- RAM, ROM, Buffers, Shift registers
Control
- Finite state machine (PLA, random logic.)
- Counters
Interconnect
- Switches
- Arbiters
- Bus
STEPS TO CONSTRUCTION IN VLSI
• Start with a design team
• Then move to a design hierarchy
• Digital design is usually based on some type of
Hardware Description Language (HDL)
• Choice is based on the following:
Design complexity
Timing requirements
Area requirements
Power requirements
Project Schedule and Resources
Gordon Moore (Intel)
The number of transistors on a chip would double about every 18
months
MOORE’S LAW
 Design productivity is usually very low.
 Typically 10 to 20 transistors per day, per designer.
58%/Yr. compound
Complexity growth rate
21%/Yr. compound
Productivity growth rate
1981
10
LogicTransistorsperChip(K)
Productivity(Trans./Staff-Month)
100
1,000
10,000
100,000
1,000,000
10,000,000
1
X
X
X X
X
X
x
100
1,000
10,000
100,000
1,000,000
10,000,000
100,000,000
10
2.5m
.35m
.10m
1983
1985
1987
1989
1991
1993
1995
1997
1999
2001
2003
2005
2007
2009
Transistor/Staff Month
Logic Transistors/Chip
PRODUCTIVITY GROWTH RATE
DESIGN IMPLEMENTATION
IC designers have two options to implement a circuit block:
I. Synthesis / Auto place and route (ASIC)
II. Custom circuit design / Custom Layout (Full Custom)
DIGITAL
DESIGN
CUSTOM
SEMI
CUSTOM
FLOW DIAGRAM OF DESIGN IMPLEMENTATION
FULL CUSTOM DESIGN
INTRODUCTION
 FULL CUSTOM DESIGN
 BASIC DESIGN METHOD
 COST
 TIME
 RIGOROUS CIRCUITS
 EXAMPLE
SEMI-CUSTOM
INTRODUCTION
 In digital CMOS VLSI, full-custom design is rarely used due to the high
labor cost.
 Exceptions to this include the design of high-volume products such as
memory chips, high-performance microprocessors and FPGA masters.
 The standard-cells based design is often called semi custom design.
 The cells are pre-designed for general use and the same cells are
utilized in many different chip designs.
 Problem: Full Custom design has long iteration time and upfront
planning:
• Circuit designer has to plan every single detail of the block
• Mask designer has to draw every polygon of the layout before we
can have fully routed design
 Semi-custom flow uses an iterative approach:
• At any point during this process we can stop and have a
fully routed design
• Result: better trade off between ‘how much to optimize’
vs. ‘how quick to finish’
• Extreme usage case:
DESIGN IMPLEMENTATION(CONT.)
STANDARD CELL BASED DESIGN
INTRODUCTION
 One of the most prevalent custom design styles.
Also called semi-custom design style.
Requires developing full custom mask set.
 Basic idea:
All of the commonly used logic cells are developed, characterized, and
stored in a standard cell library.
A typical library may contain a few hundred cells.
Inverters, NAND gates, NOR gates, complex AOI, OAI gates, D-latches,
and flip-flops.
CHARACTERISTIC OF THE CELLS
 Each cell is designed with a fixed height.
 To enable automated placement of the cells, and routing of inter-cell
connections.
 A number of cells can be abutted side-by-side to form rows.
 The power and ground rails typically run parallel to upper and lower
boundaries of cell.
 Neighboring cells share a common power and ground bus.
 nMOS transistors are located closer to the ground rail while the pMOS
transistors are placed closer to the power rail.
 The input and output pins are located on the upper and lower boundaries of
the cell.
STANDARD CELL
FLOORPLAN FOR STANDARD CELL DESIGN
 Inside the I/O frame which is reserved for I/O cells, the chip area contains
rows or columns of standard cells.
 Between cell rows are channels for dedicated inter-cell routing.
 Over-the-cell routing is also possible.
 The physical design and layout of logic cells ensure that :
1. When placed into rows, their heights match.
2. Neighboring cells can be abutted side-by-side, which provides natural
connections for power and ground lines in each row.
GATE ARRAY
INTRODUCTION
 In view of the fast prototyping capability, the gate array (GA) comes after
the FPGA.
 Design implementation of FPGA chip is done with user programming,
 Gate array is done with metal mask design and processing.
 Gate array implementation requires a two-step manufacturing process:
a) The first phase, which is based on generic (standard) masks, results in an
array of uncommitted transistors on each GA chip.
b) These uncommitted chips can be customized later, which is completed by
defining the metal interconnects between the transistors of the array.
CONTD.
 The GA chip utilization factor is higher than that of FPGA.
 Chip speed is also higher.
 More customized design can be achieved with metal mask designs.
 Current gate array chips can implement as many as hundreds of
thousands of logic gates.
FIELD PROGRAMMABLE GATE ARRAY
(FPGA)
INTRODUCTION
 User / Field Programmability.
 Array of logic cells connected via routing channels.
 Different types of cells:
Special I/O cells.
Logic cells.
Mainly lookup tables (LUT) with associated registers.
 Interconnection between cells:
Using fuse switches.
Using antifuse elements.
CLB FUNCTIONALITIES
 Two 4-input function generators
Implemented using Lookup Tables using 16x1 RAM.
Can also implement 16x1 memory.
 Two Registers
Each can be configured as flip-flop or latch.
Independent clock polarity.
Synchronous and asynchronous Set / Reset
DESIGN FLOW
FPGA DESIGN FLOW
 Design Entry
In schematic, VHDL, or Verilog.
 Implementation
Placement & Routing
Bit stream generation
Analyze timing, view layout, simulation, etc.
 Download
Directly to Xilinx hardware devices with unlimited reconfigurations.
IMPLEMENTATION OF A DESIGN IN FPGA
COMPARISON AMONG VARIOUS DESIGN STYLES
DISCUSSION
● Designers tend to think of a hard boundary between the two flows:
● Block containing some non-static circuits (SRAM or dynamic) end up as
Full Custom
● In reality only a portion of that block is non-static
● Most blocks also contain some standard CMOS circuits (data path and
control logic)
● These portions can be built as ASIC or tiled standard cells.
Goal: allow designers to mix and match aspect from both ASIC and Full
Custom approaches to improve productivity
Q&A
●Thank You!

More Related Content

What's hot

System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSubash John
 
Memory & I/O interfacing
Memory & I/O  interfacingMemory & I/O  interfacing
Memory & I/O interfacingdeval patel
 
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)Revathi Subramaniam
 
VLSI subsystem design processes and illustration
VLSI subsystem design processes and illustrationVLSI subsystem design processes and illustration
VLSI subsystem design processes and illustrationVishal kakade
 
Interfacing external memory in 8051
Interfacing external memory in 8051Interfacing external memory in 8051
Interfacing external memory in 8051ssuser3a47cb
 
Introduction to VLSI Design
Introduction to VLSI DesignIntroduction to VLSI Design
Introduction to VLSI DesignKalyan Acharjya
 
Programmable logic devices
Programmable logic devicesProgrammable logic devices
Programmable logic devicesAmmara Javed
 
1. FPGA architectures.pdf
1. FPGA architectures.pdf1. FPGA architectures.pdf
1. FPGA architectures.pdfTesfuFiseha1
 
Layout & Stick Diagram Design Rules
Layout & Stick Diagram Design RulesLayout & Stick Diagram Design Rules
Layout & Stick Diagram Design Rulesvarun kumar
 
Programmable Logic Devices Plds
Programmable Logic Devices PldsProgrammable Logic Devices Plds
Programmable Logic Devices PldsGaditek
 
R-2R Ladder DAC
R-2R Ladder DACR-2R Ladder DAC
R-2R Ladder DACChandul4y
 

What's hot (20)

Stick digram by Euler Approach
Stick digram by Euler ApproachStick digram by Euler Approach
Stick digram by Euler Approach
 
PLDs
PLDsPLDs
PLDs
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerations
 
Memory & I/O interfacing
Memory & I/O  interfacingMemory & I/O  interfacing
Memory & I/O interfacing
 
MOS Capacitor
MOS CapacitorMOS Capacitor
MOS Capacitor
 
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
 
VLSI subsystem design processes and illustration
VLSI subsystem design processes and illustrationVLSI subsystem design processes and illustration
VLSI subsystem design processes and illustration
 
Xilinx 4000 series
Xilinx 4000 seriesXilinx 4000 series
Xilinx 4000 series
 
Chap 5
Chap 5Chap 5
Chap 5
 
Interfacing external memory in 8051
Interfacing external memory in 8051Interfacing external memory in 8051
Interfacing external memory in 8051
 
Introduction to VLSI Design
Introduction to VLSI DesignIntroduction to VLSI Design
Introduction to VLSI Design
 
Programmable logic devices
Programmable logic devicesProgrammable logic devices
Programmable logic devices
 
Vlsi stick daigram (JCE)
Vlsi stick daigram (JCE)Vlsi stick daigram (JCE)
Vlsi stick daigram (JCE)
 
Lecture20
Lecture20Lecture20
Lecture20
 
ARM CORTEX M3 PPT
ARM CORTEX M3 PPTARM CORTEX M3 PPT
ARM CORTEX M3 PPT
 
Altera flex
Altera flexAltera flex
Altera flex
 
1. FPGA architectures.pdf
1. FPGA architectures.pdf1. FPGA architectures.pdf
1. FPGA architectures.pdf
 
Layout & Stick Diagram Design Rules
Layout & Stick Diagram Design RulesLayout & Stick Diagram Design Rules
Layout & Stick Diagram Design Rules
 
Programmable Logic Devices Plds
Programmable Logic Devices PldsProgrammable Logic Devices Plds
Programmable Logic Devices Plds
 
R-2R Ladder DAC
R-2R Ladder DACR-2R Ladder DAC
R-2R Ladder DAC
 

Similar to Implementation strategies for digital ics

Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : IntroductionUsha Mehta
 
Digital System Design-Introductio to ASIC
Digital System Design-Introductio to ASICDigital System Design-Introductio to ASIC
Digital System Design-Introductio to ASICIndira Priyadarshini
 
VLSI design Dr B.jagadeesh UNIT-5.pptx
VLSI design Dr B.jagadeesh   UNIT-5.pptxVLSI design Dr B.jagadeesh   UNIT-5.pptx
VLSI design Dr B.jagadeesh UNIT-5.pptxjagadeesh276791
 
Digital standard cell library Design flow
Digital standard cell library Design flowDigital standard cell library Design flow
Digital standard cell library Design flowijsrd.com
 
VLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptVLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptindrajeetPatel22
 
CMOS DESIGN METHOD.pptx
CMOS DESIGN METHOD.pptxCMOS DESIGN METHOD.pptx
CMOS DESIGN METHOD.pptxAdiSeshu16
 
SISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designSISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designDr. Ravi Mishra
 
VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptrajukolluri
 
Performance and Flexibility for Mmultiple-Processor SoC Design
Performance and Flexibility for Mmultiple-Processor SoC DesignPerformance and Flexibility for Mmultiple-Processor SoC Design
Performance and Flexibility for Mmultiple-Processor SoC DesignYalagoud Patil
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC designAishwaryaRavishankar8
 
Digital Systems Design
Digital Systems DesignDigital Systems Design
Digital Systems DesignReza Sameni
 
Fpga asic technologies_flow
Fpga asic technologies_flowFpga asic technologies_flow
Fpga asic technologies_flowravi4all
 
FPGA-Arch (3).ppt
FPGA-Arch (3).pptFPGA-Arch (3).ppt
FPGA-Arch (3).pptArthi579360
 

Similar to Implementation strategies for digital ics (20)

Vlsi design-styles
Vlsi design-stylesVlsi design-styles
Vlsi design-styles
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 
Digital System Design-Introductio to ASIC
Digital System Design-Introductio to ASICDigital System Design-Introductio to ASIC
Digital System Design-Introductio to ASIC
 
VLSI design Dr B.jagadeesh UNIT-5.pptx
VLSI design Dr B.jagadeesh   UNIT-5.pptxVLSI design Dr B.jagadeesh   UNIT-5.pptx
VLSI design Dr B.jagadeesh UNIT-5.pptx
 
Digital standard cell library Design flow
Digital standard cell library Design flowDigital standard cell library Design flow
Digital standard cell library Design flow
 
Asic
AsicAsic
Asic
 
VLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptVLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.ppt
 
CMOS DESIGN METHOD.pptx
CMOS DESIGN METHOD.pptxCMOS DESIGN METHOD.pptx
CMOS DESIGN METHOD.pptx
 
SISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designSISTec Microelectronics VLSI design
SISTec Microelectronics VLSI design
 
VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.ppt
 
VLSI Design- Guru.ppt
VLSI Design- Guru.pptVLSI Design- Guru.ppt
VLSI Design- Guru.ppt
 
Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Tools
 
SoC FPGA Technology
SoC FPGA TechnologySoC FPGA Technology
SoC FPGA Technology
 
Performance and Flexibility for Mmultiple-Processor SoC Design
Performance and Flexibility for Mmultiple-Processor SoC DesignPerformance and Flexibility for Mmultiple-Processor SoC Design
Performance and Flexibility for Mmultiple-Processor SoC Design
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC design
 
ASIC
ASICASIC
ASIC
 
Digital Systems Design
Digital Systems DesignDigital Systems Design
Digital Systems Design
 
Fpga asic technologies_flow
Fpga asic technologies_flowFpga asic technologies_flow
Fpga asic technologies_flow
 
FPGA-Arch (3).ppt
FPGA-Arch (3).pptFPGA-Arch (3).ppt
FPGA-Arch (3).ppt
 
FPGA-Arch.ppt
FPGA-Arch.pptFPGA-Arch.ppt
FPGA-Arch.ppt
 

More from aroosa khan

Antenna Equation for uniform Linear Array
Antenna Equation for uniform Linear Array Antenna Equation for uniform Linear Array
Antenna Equation for uniform Linear Array aroosa khan
 
Device to Device Communication in Cellular Networks
Device to Device Communication in Cellular NetworksDevice to Device Communication in Cellular Networks
Device to Device Communication in Cellular Networksaroosa khan
 
Wsn Wireless Hart Architecture,Mechanism,Components
Wsn Wireless Hart Architecture,Mechanism,ComponentsWsn Wireless Hart Architecture,Mechanism,Components
Wsn Wireless Hart Architecture,Mechanism,Componentsaroosa khan
 
WSN (BACnet,Lonworks,KNX)
WSN (BACnet,Lonworks,KNX)WSN (BACnet,Lonworks,KNX)
WSN (BACnet,Lonworks,KNX)aroosa khan
 
Education in Pakistan
Education in PakistanEducation in Pakistan
Education in Pakistanaroosa khan
 
Contribution of malaysia in science and technology
Contribution of malaysia in science and technologyContribution of malaysia in science and technology
Contribution of malaysia in science and technologyaroosa khan
 
Chemical Vapour Deposition
Chemical Vapour DepositionChemical Vapour Deposition
Chemical Vapour Depositionaroosa khan
 
Brief summary of signals
Brief summary of signalsBrief summary of signals
Brief summary of signalsaroosa khan
 
Bussiness report
Bussiness reportBussiness report
Bussiness reportaroosa khan
 
Timing issues in digital circuits
Timing issues in digital circuitsTiming issues in digital circuits
Timing issues in digital circuitsaroosa khan
 
Presentation fpgakit
Presentation fpgakitPresentation fpgakit
Presentation fpgakitaroosa khan
 
Brushless dc motor
Brushless dc motorBrushless dc motor
Brushless dc motoraroosa khan
 
impact of cartoons
impact of cartoons impact of cartoons
impact of cartoons aroosa khan
 
OOP/ project Employees information system
OOP/ project Employees information systemOOP/ project Employees information system
OOP/ project Employees information systemaroosa khan
 
Security alarm/ECD
Security alarm/ECDSecurity alarm/ECD
Security alarm/ECDaroosa khan
 

More from aroosa khan (20)

Antenna Equation for uniform Linear Array
Antenna Equation for uniform Linear Array Antenna Equation for uniform Linear Array
Antenna Equation for uniform Linear Array
 
Device to Device Communication in Cellular Networks
Device to Device Communication in Cellular NetworksDevice to Device Communication in Cellular Networks
Device to Device Communication in Cellular Networks
 
Wsn Wireless Hart Architecture,Mechanism,Components
Wsn Wireless Hart Architecture,Mechanism,ComponentsWsn Wireless Hart Architecture,Mechanism,Components
Wsn Wireless Hart Architecture,Mechanism,Components
 
WSN (BACnet,Lonworks,KNX)
WSN (BACnet,Lonworks,KNX)WSN (BACnet,Lonworks,KNX)
WSN (BACnet,Lonworks,KNX)
 
Education in Pakistan
Education in PakistanEducation in Pakistan
Education in Pakistan
 
Contribution of malaysia in science and technology
Contribution of malaysia in science and technologyContribution of malaysia in science and technology
Contribution of malaysia in science and technology
 
Chemical Vapour Deposition
Chemical Vapour DepositionChemical Vapour Deposition
Chemical Vapour Deposition
 
Brief summary of signals
Brief summary of signalsBrief summary of signals
Brief summary of signals
 
Adidas memo
Adidas memoAdidas memo
Adidas memo
 
Bussiness report
Bussiness reportBussiness report
Bussiness report
 
Timing issues in digital circuits
Timing issues in digital circuitsTiming issues in digital circuits
Timing issues in digital circuits
 
Umts(3g)
Umts(3g)Umts(3g)
Umts(3g)
 
Presentation fpgakit
Presentation fpgakitPresentation fpgakit
Presentation fpgakit
 
Fpga project
Fpga projectFpga project
Fpga project
 
Milling machine
Milling machineMilling machine
Milling machine
 
Brushless dc motor
Brushless dc motorBrushless dc motor
Brushless dc motor
 
impact of cartoons
impact of cartoons impact of cartoons
impact of cartoons
 
OOP/ project Employees information system
OOP/ project Employees information systemOOP/ project Employees information system
OOP/ project Employees information system
 
Security alarm/ECD
Security alarm/ECDSecurity alarm/ECD
Security alarm/ECD
 
Color sensor
Color sensorColor sensor
Color sensor
 

Recently uploaded

Work Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvWork Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvLewisJB
 
Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...121011101441
 
Configuration of IoT devices - Systems managament
Configuration of IoT devices - Systems managamentConfiguration of IoT devices - Systems managament
Configuration of IoT devices - Systems managamentBharaniDharan195623
 
Mine Environment II Lab_MI10448MI__________.pptx
Mine Environment II Lab_MI10448MI__________.pptxMine Environment II Lab_MI10448MI__________.pptx
Mine Environment II Lab_MI10448MI__________.pptxRomil Mishra
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AIabhishek36461
 
Energy Awareness training ppt for manufacturing process.pptx
Energy Awareness training ppt for manufacturing process.pptxEnergy Awareness training ppt for manufacturing process.pptx
Energy Awareness training ppt for manufacturing process.pptxsiddharthjain2303
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
Unit7-DC_Motors nkkjnsdkfnfcdfknfdgfggfg
Unit7-DC_Motors nkkjnsdkfnfcdfknfdgfggfgUnit7-DC_Motors nkkjnsdkfnfcdfknfdgfggfg
Unit7-DC_Motors nkkjnsdkfnfcdfknfdgfggfgsaravananr517913
 
Virtual memory management in Operating System
Virtual memory management in Operating SystemVirtual memory management in Operating System
Virtual memory management in Operating SystemRashmi Bhat
 
Autonomous emergency braking system (aeb) ppt.ppt
Autonomous emergency braking system (aeb) ppt.pptAutonomous emergency braking system (aeb) ppt.ppt
Autonomous emergency braking system (aeb) ppt.pptbibisarnayak0
 
System Simulation and Modelling with types and Event Scheduling
System Simulation and Modelling with types and Event SchedulingSystem Simulation and Modelling with types and Event Scheduling
System Simulation and Modelling with types and Event SchedulingBootNeck1
 
Research Methodology for Engineering pdf
Research Methodology for Engineering pdfResearch Methodology for Engineering pdf
Research Methodology for Engineering pdfCaalaaAbdulkerim
 
Earthing details of Electrical Substation
Earthing details of Electrical SubstationEarthing details of Electrical Substation
Earthing details of Electrical Substationstephanwindworld
 
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTION
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTIONTHE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTION
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTIONjhunlian
 
BSNL Internship Training presentation.pptx
BSNL Internship Training presentation.pptxBSNL Internship Training presentation.pptx
BSNL Internship Training presentation.pptxNiranjanYadav41
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort servicejennyeacort
 
Arduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptArduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptSAURABHKUMAR892774
 

Recently uploaded (20)

Work Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvWork Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvv
 
Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...
 
Configuration of IoT devices - Systems managament
Configuration of IoT devices - Systems managamentConfiguration of IoT devices - Systems managament
Configuration of IoT devices - Systems managament
 
Mine Environment II Lab_MI10448MI__________.pptx
Mine Environment II Lab_MI10448MI__________.pptxMine Environment II Lab_MI10448MI__________.pptx
Mine Environment II Lab_MI10448MI__________.pptx
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AI
 
Energy Awareness training ppt for manufacturing process.pptx
Energy Awareness training ppt for manufacturing process.pptxEnergy Awareness training ppt for manufacturing process.pptx
Energy Awareness training ppt for manufacturing process.pptx
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
POWER SYSTEMS-1 Complete notes examples
POWER SYSTEMS-1 Complete notes  examplesPOWER SYSTEMS-1 Complete notes  examples
POWER SYSTEMS-1 Complete notes examples
 
young call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Serviceyoung call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Service
 
Designing pile caps according to ACI 318-19.pptx
Designing pile caps according to ACI 318-19.pptxDesigning pile caps according to ACI 318-19.pptx
Designing pile caps according to ACI 318-19.pptx
 
Unit7-DC_Motors nkkjnsdkfnfcdfknfdgfggfg
Unit7-DC_Motors nkkjnsdkfnfcdfknfdgfggfgUnit7-DC_Motors nkkjnsdkfnfcdfknfdgfggfg
Unit7-DC_Motors nkkjnsdkfnfcdfknfdgfggfg
 
Virtual memory management in Operating System
Virtual memory management in Operating SystemVirtual memory management in Operating System
Virtual memory management in Operating System
 
Autonomous emergency braking system (aeb) ppt.ppt
Autonomous emergency braking system (aeb) ppt.pptAutonomous emergency braking system (aeb) ppt.ppt
Autonomous emergency braking system (aeb) ppt.ppt
 
System Simulation and Modelling with types and Event Scheduling
System Simulation and Modelling with types and Event SchedulingSystem Simulation and Modelling with types and Event Scheduling
System Simulation and Modelling with types and Event Scheduling
 
Research Methodology for Engineering pdf
Research Methodology for Engineering pdfResearch Methodology for Engineering pdf
Research Methodology for Engineering pdf
 
Earthing details of Electrical Substation
Earthing details of Electrical SubstationEarthing details of Electrical Substation
Earthing details of Electrical Substation
 
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTION
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTIONTHE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTION
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTION
 
BSNL Internship Training presentation.pptx
BSNL Internship Training presentation.pptxBSNL Internship Training presentation.pptx
BSNL Internship Training presentation.pptx
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
 
Arduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptArduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.ppt
 

Implementation strategies for digital ics

  • 2. GROUP MEMBERS ● NAYYAR ● SIDRA ● MAJIDA
  • 3. TABLE OF CONTENTS ● INTRODUCTION ● VLSI DESIGN CYCLE ● MOORE’S LAW ● PRODUCTIVITY GROWTH RATE ● DESIGN IMPLEMENTATION STRATEGIES ● COMPARISON ● DISCUSSION
  • 4. VLSI VLSI – Very Large Scale Integration Refers to the many fields of electrical and computer engineering that deal with the analysis and design of very dense electronic integrated circuits
  • 5. VLSI DESIGN CYCLE SYSTEM SPECIFICATION FUNCTION DESIGN LOGIC DESIGN CIRCUIT DESIGN PHYSICAL DESIGN DESIGN VERIFICATION FABRICATION PACKAGING,TESTING AND DEBUGGING
  • 7. BUILDING BLOCKS FOR DIGITALARCHITECTURE Arithmetic unit Bit-sliced data path ( Adder, comparator, shifter, multiplier etc.) Memory - RAM, ROM, Buffers, Shift registers Control - Finite state machine (PLA, random logic.) - Counters Interconnect - Switches - Arbiters - Bus
  • 8. STEPS TO CONSTRUCTION IN VLSI • Start with a design team • Then move to a design hierarchy • Digital design is usually based on some type of Hardware Description Language (HDL) • Choice is based on the following: Design complexity Timing requirements Area requirements Power requirements Project Schedule and Resources
  • 9. Gordon Moore (Intel) The number of transistors on a chip would double about every 18 months MOORE’S LAW
  • 10.  Design productivity is usually very low.  Typically 10 to 20 transistors per day, per designer. 58%/Yr. compound Complexity growth rate 21%/Yr. compound Productivity growth rate 1981 10 LogicTransistorsperChip(K) Productivity(Trans./Staff-Month) 100 1,000 10,000 100,000 1,000,000 10,000,000 1 X X X X X X x 100 1,000 10,000 100,000 1,000,000 10,000,000 100,000,000 10 2.5m .35m .10m 1983 1985 1987 1989 1991 1993 1995 1997 1999 2001 2003 2005 2007 2009 Transistor/Staff Month Logic Transistors/Chip PRODUCTIVITY GROWTH RATE
  • 11. DESIGN IMPLEMENTATION IC designers have two options to implement a circuit block: I. Synthesis / Auto place and route (ASIC) II. Custom circuit design / Custom Layout (Full Custom) DIGITAL DESIGN CUSTOM SEMI CUSTOM
  • 12. FLOW DIAGRAM OF DESIGN IMPLEMENTATION
  • 14. INTRODUCTION  FULL CUSTOM DESIGN  BASIC DESIGN METHOD  COST  TIME  RIGOROUS CIRCUITS  EXAMPLE
  • 16. INTRODUCTION  In digital CMOS VLSI, full-custom design is rarely used due to the high labor cost.  Exceptions to this include the design of high-volume products such as memory chips, high-performance microprocessors and FPGA masters.  The standard-cells based design is often called semi custom design.  The cells are pre-designed for general use and the same cells are utilized in many different chip designs.
  • 17.  Problem: Full Custom design has long iteration time and upfront planning: • Circuit designer has to plan every single detail of the block • Mask designer has to draw every polygon of the layout before we can have fully routed design  Semi-custom flow uses an iterative approach: • At any point during this process we can stop and have a fully routed design • Result: better trade off between ‘how much to optimize’ vs. ‘how quick to finish’ • Extreme usage case: DESIGN IMPLEMENTATION(CONT.)
  • 19. INTRODUCTION  One of the most prevalent custom design styles. Also called semi-custom design style. Requires developing full custom mask set.  Basic idea: All of the commonly used logic cells are developed, characterized, and stored in a standard cell library. A typical library may contain a few hundred cells. Inverters, NAND gates, NOR gates, complex AOI, OAI gates, D-latches, and flip-flops.
  • 20. CHARACTERISTIC OF THE CELLS  Each cell is designed with a fixed height.  To enable automated placement of the cells, and routing of inter-cell connections.  A number of cells can be abutted side-by-side to form rows.  The power and ground rails typically run parallel to upper and lower boundaries of cell.  Neighboring cells share a common power and ground bus.  nMOS transistors are located closer to the ground rail while the pMOS transistors are placed closer to the power rail.  The input and output pins are located on the upper and lower boundaries of the cell.
  • 22. FLOORPLAN FOR STANDARD CELL DESIGN  Inside the I/O frame which is reserved for I/O cells, the chip area contains rows or columns of standard cells.  Between cell rows are channels for dedicated inter-cell routing.  Over-the-cell routing is also possible.  The physical design and layout of logic cells ensure that : 1. When placed into rows, their heights match. 2. Neighboring cells can be abutted side-by-side, which provides natural connections for power and ground lines in each row.
  • 24. INTRODUCTION  In view of the fast prototyping capability, the gate array (GA) comes after the FPGA.  Design implementation of FPGA chip is done with user programming,  Gate array is done with metal mask design and processing.  Gate array implementation requires a two-step manufacturing process: a) The first phase, which is based on generic (standard) masks, results in an array of uncommitted transistors on each GA chip. b) These uncommitted chips can be customized later, which is completed by defining the metal interconnects between the transistors of the array.
  • 25.
  • 26. CONTD.  The GA chip utilization factor is higher than that of FPGA.  Chip speed is also higher.  More customized design can be achieved with metal mask designs.  Current gate array chips can implement as many as hundreds of thousands of logic gates.
  • 27. FIELD PROGRAMMABLE GATE ARRAY (FPGA)
  • 28. INTRODUCTION  User / Field Programmability.  Array of logic cells connected via routing channels.  Different types of cells: Special I/O cells. Logic cells. Mainly lookup tables (LUT) with associated registers.  Interconnection between cells: Using fuse switches. Using antifuse elements.
  • 29.
  • 30. CLB FUNCTIONALITIES  Two 4-input function generators Implemented using Lookup Tables using 16x1 RAM. Can also implement 16x1 memory.  Two Registers Each can be configured as flip-flop or latch. Independent clock polarity. Synchronous and asynchronous Set / Reset
  • 32. FPGA DESIGN FLOW  Design Entry In schematic, VHDL, or Verilog.  Implementation Placement & Routing Bit stream generation Analyze timing, view layout, simulation, etc.  Download Directly to Xilinx hardware devices with unlimited reconfigurations.
  • 33. IMPLEMENTATION OF A DESIGN IN FPGA
  • 34. COMPARISON AMONG VARIOUS DESIGN STYLES
  • 35. DISCUSSION ● Designers tend to think of a hard boundary between the two flows: ● Block containing some non-static circuits (SRAM or dynamic) end up as Full Custom ● In reality only a portion of that block is non-static ● Most blocks also contain some standard CMOS circuits (data path and control logic) ● These portions can be built as ASIC or tiled standard cells. Goal: allow designers to mix and match aspect from both ASIC and Full Custom approaches to improve productivity