SlideShare a Scribd company logo
1 of 28
Download to read offline
Any use of this material without specific permission of Arrow Devices is strictly prohibited
May 2015
UVM:	
  Basic	
  Sequences	
  
	
  
	
  
	
  
Chandra	
  Bhushan	
  Singh	
  
Topics	
  Covered	
  
•  Sequence Item
•  Sequencer
•  Sequences
2
Arrow Devices Pvt Ltd
Sequence Item
Arrow Devices Pvt Ltd
Sequence Item Content
Sequence Item consists of the following
Ø  Control : What type of transfer, what size
Ø  Payload : The main data content of the transfer
Ø  Configuration : Setting up a new mode of operation,
error behavior etc
Ø  Analysis : Convenience fields which aid analysis - time
stamps, rolling checksums etc
Arrow Devices Pvt Ltd
 
	
  
	
  	
  
Arrow Devices Pvt Ltd
Randomiza7on	
  Considera7ons	
  
Ø  Request properties should be rand
Ø  Response properties should not be rand
Example:	
  Sequence	
  Item	
  
Arrow Devices Pvt Ltd
	
  	
  
Arrow Devices Pvt Ltd
Sequence	
  Item	
  Methods	
  
 
	
  
	
  
	
  
Sequencer	
  
	
  
	
  	
  
Arrow Devices Pvt Ltd
Arrow Devices Pvt Ltd
Sequencer	
  Characteris7cs	
  
Sequencer has the following characteristics:
Ø  Provides coordination between sequence
and driver
Ø  Sends transactions to driver
Ø  Gets the response from the driver
Ø  Responsible for arbitrating between the
parallel sequences
Arrow Devices Pvt Ltd
Sequencer-­‐Driver	
  Connec7on	
  
 	
  	
  
Arrow Devices Pvt Ltd
UVM	
  Sequencer	
  API	
  
API’s Supported by UVM Sequencer
Ø  get_next_item
Ø  try_next_item
Ø  item done
Ø  peek
Ø  get
Ø  put
Arrow Devices Pvt Ltd
Recommended	
  Driver-­‐Sequencer	
  
API	
  
Ø  get_next_item() followed by item_done()
Ø  get(req) followed by put(rsp)
1
2
 	
  
Arrow Devices Pvt Ltd
“get_next_item()”	
  followed	
  by	
  
“item_done()”	
  
1
Arrow Devices Pvt Ltd
“get(req)”	
  followed	
  by	
  “put(rsp)”	
  2
Arrow Devices Pvt Ltd
Sequences	
  
 
	
  
	
  
Arrow Devices Pvt Ltd
Sequences	
  
Ø  Derived from an uvm_sequence_item
Ø  Parameterized with the type of seq_item
Ø  Body method
Ø  m_sequencer handle
Sequences have the following characteristics:
Arrow Devices Pvt Ltd
Example:	
  Sequences	
  
Arrow Devices Pvt Ltd
Running	
  a	
  Sequence	
  
Ø  Step 1: Create Sequence
Ø  Step 2: Configure Sequence
Ø  Step 3: Start the Sequence
Arrow Devices Pvt Ltd
	
  Step	
  1:	
  Create	
  Sequence	
  
Arrow Devices Pvt Ltd
Step	
  2:	
  Configure	
  Sequence	
  
Ø  Set up start values
Ø  Set up generation loop variables
Ø  Set up pointers to test-bench
resources
Arrow Devices Pvt Ltd
Step	
  3:	
  Start	
  Sequence	
  	
  
•  Call	
  to	
  its	
  start()	
  method	
  
Arrow Devices Pvt Ltd
Sequence	
  Item	
  To	
  A	
  Driver:	
  	
  
The	
  Flow	
  
Ø  Creation of seq item
Ø  Ready - start_item()
Ø  Set - Randomization
Ø  Go - finish_item()
Ø  Response - get_response()
Arrow Devices Pvt Ltd
Sequence	
  Execu7on	
  Flow	
  
Sequences have two types of execution
Ø  Linear Execution Flow
Ø  Parallel Execution Flow
Arrow Devices Pvt Ltd
Coding	
  Guideline	
  
Ø  Do not use fork, join_any, disable fork
Ø  Do not use fork join_none to exit a body
method
Ø  Do not fork join a sequence which
contains a forever loop without
terminating condition
Arrow Devices Pvt Ltd
Sequences	
  Overrides	
  
Two types of overrides:
Ø  Sequence Type Factory Override
Ø  Sequence Instance Factory Override
Arrow Devices Pvt Ltd
Sequence	
  Type	
  Factory	
  Override	
  
Arrow Devices Pvt Ltd
Sequence	
  Instance	
  Factory	
  
Override	
  
Thank	
  you	
  
Arrow Devices Pvt Ltd

More Related Content

What's hot

System verilog verification building blocks
System verilog verification building blocksSystem verilog verification building blocks
System verilog verification building blocks
Nirav Desai
 
Session 9 advance_verification_features
Session 9 advance_verification_featuresSession 9 advance_verification_features
Session 9 advance_verification_features
Nirav Desai
 
Challenges in Using UVM at SoC Level
Challenges in Using UVM at SoC LevelChallenges in Using UVM at SoC Level
Challenges in Using UVM at SoC Level
DVClub
 
Spi master core verification
Spi master core verificationSpi master core verification
Spi master core verification
Maulik Suthar
 
Uvm cookbook-systemverilog-guidelines-verification-academy
Uvm cookbook-systemverilog-guidelines-verification-academyUvm cookbook-systemverilog-guidelines-verification-academy
Uvm cookbook-systemverilog-guidelines-verification-academy
Raghavendra Kamath
 

What's hot (20)

UVM ARCHITECTURE FOR VERIFICATION
UVM ARCHITECTURE FOR VERIFICATIONUVM ARCHITECTURE FOR VERIFICATION
UVM ARCHITECTURE FOR VERIFICATION
 
AMBA_APB_pst
AMBA_APB_pstAMBA_APB_pst
AMBA_APB_pst
 
System verilog verification building blocks
System verilog verification building blocksSystem verilog verification building blocks
System verilog verification building blocks
 
Session 9 advance_verification_features
Session 9 advance_verification_featuresSession 9 advance_verification_features
Session 9 advance_verification_features
 
SystemVerilog OOP Ovm Features Summary
SystemVerilog OOP Ovm Features SummarySystemVerilog OOP Ovm Features Summary
SystemVerilog OOP Ovm Features Summary
 
Ambha axi
Ambha axiAmbha axi
Ambha axi
 
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
 
UVM Driver sequencer handshaking
UVM Driver sequencer handshakingUVM Driver sequencer handshaking
UVM Driver sequencer handshaking
 
AMBA 3 APB Protocol
AMBA 3 APB ProtocolAMBA 3 APB Protocol
AMBA 3 APB Protocol
 
System verilog assertions
System verilog assertionsSystem verilog assertions
System verilog assertions
 
APB protocol v1.0
APB protocol v1.0APB protocol v1.0
APB protocol v1.0
 
CPU Verification
CPU VerificationCPU Verification
CPU Verification
 
Coverage and Introduction to UVM
Coverage and Introduction to UVMCoverage and Introduction to UVM
Coverage and Introduction to UVM
 
Challenges in Using UVM at SoC Level
Challenges in Using UVM at SoC LevelChallenges in Using UVM at SoC Level
Challenges in Using UVM at SoC Level
 
Apb
ApbApb
Apb
 
axi protocol
axi protocolaxi protocol
axi protocol
 
Spi master core verification
Spi master core verificationSpi master core verification
Spi master core verification
 
SystemVerilog Assertions verification with SVAUnit - DVCon US 2016 Tutorial
SystemVerilog Assertions verification with SVAUnit - DVCon US 2016 TutorialSystemVerilog Assertions verification with SVAUnit - DVCon US 2016 Tutorial
SystemVerilog Assertions verification with SVAUnit - DVCon US 2016 Tutorial
 
Uvm cookbook-systemverilog-guidelines-verification-academy
Uvm cookbook-systemverilog-guidelines-verification-academyUvm cookbook-systemverilog-guidelines-verification-academy
Uvm cookbook-systemverilog-guidelines-verification-academy
 
AMBA Ahb 2.0
AMBA Ahb 2.0AMBA Ahb 2.0
AMBA Ahb 2.0
 

Viewers also liked

Uvm dcon2013
Uvm dcon2013Uvm dcon2013
Uvm dcon2013
sean chen
 
UVM Update: Register Package
UVM Update: Register PackageUVM Update: Register Package
UVM Update: Register Package
DVClub
 
SmartPlay! The place to be!
SmartPlay! The place to be! SmartPlay! The place to be!
SmartPlay! The place to be!
KR_8
 
Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)
DVClub
 
Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)
DVClub
 
Validating Next Generation CPUs
Validating Next Generation CPUsValidating Next Generation CPUs
Validating Next Generation CPUs
DVClub
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through Methodology
DVClub
 
Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification Experience
DVClub
 
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesIntel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
DVClub
 
Pre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationPre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si Validation
DVClub
 

Viewers also liked (20)

SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog
 
Uvm dcon2013
Uvm dcon2013Uvm dcon2013
Uvm dcon2013
 
UVM Update: Register Package
UVM Update: Register PackageUVM Update: Register Package
UVM Update: Register Package
 
Functional verification techniques EW16 session
Functional verification techniques  EW16 sessionFunctional verification techniques  EW16 session
Functional verification techniques EW16 session
 
Demo
DemoDemo
Demo
 
USB 2.0
USB 2.0USB 2.0
USB 2.0
 
SmartPlay! The place to be!
SmartPlay! The place to be! SmartPlay! The place to be!
SmartPlay! The place to be!
 
Basics of Functional Verification - Arrow Devices
Basics of Functional Verification - Arrow DevicesBasics of Functional Verification - Arrow Devices
Basics of Functional Verification - Arrow Devices
 
USB 2.0 ECHI Host and Embedded HUB
USB 2.0 ECHI Host and Embedded HUBUSB 2.0 ECHI Host and Embedded HUB
USB 2.0 ECHI Host and Embedded HUB
 
Arrow Devices USB 2.0 Concepts
Arrow Devices USB 2.0 ConceptsArrow Devices USB 2.0 Concepts
Arrow Devices USB 2.0 Concepts
 
A5 HiTech Add v2
A5 HiTech Add v2A5 HiTech Add v2
A5 HiTech Add v2
 
Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)
 
Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)
 
AMD_11th_Intl_SoC_Conf_UCI_Irvine
AMD_11th_Intl_SoC_Conf_UCI_IrvineAMD_11th_Intl_SoC_Conf_UCI_Irvine
AMD_11th_Intl_SoC_Conf_UCI_Irvine
 
Validating Next Generation CPUs
Validating Next Generation CPUsValidating Next Generation CPUs
Validating Next Generation CPUs
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through Methodology
 
Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification Experience
 
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesIntel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
 
Pre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationPre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si Validation
 
Verification challenges and methodologies - SoC and ASICs
Verification challenges and methodologies - SoC and ASICsVerification challenges and methodologies - SoC and ASICs
Verification challenges and methodologies - SoC and ASICs
 

Similar to UVM: Basic Sequences

Similar to UVM: Basic Sequences (20)

OWASP A&D Project Competition Mode
OWASP A&D Project Competition ModeOWASP A&D Project Competition Mode
OWASP A&D Project Competition Mode
 
Cypress Best Pratices for Test Automation
Cypress Best Pratices for Test AutomationCypress Best Pratices for Test Automation
Cypress Best Pratices for Test Automation
 
STPA and Software Verification
STPA and Software VerificationSTPA and Software Verification
STPA and Software Verification
 
QA Meetup at Signavio (Berlin, 06.06.19)
QA Meetup at Signavio (Berlin, 06.06.19)QA Meetup at Signavio (Berlin, 06.06.19)
QA Meetup at Signavio (Berlin, 06.06.19)
 
Protractor End To End Testing For AngularJS
Protractor End To End Testing For AngularJSProtractor End To End Testing For AngularJS
Protractor End To End Testing For AngularJS
 
Performancetestingjmeter 121109061704-phpapp02
Performancetestingjmeter 121109061704-phpapp02Performancetestingjmeter 121109061704-phpapp02
Performancetestingjmeter 121109061704-phpapp02
 
Test
TestTest
Test
 
Automating Speed: A Proven Approach to Preventing Performance Regressions in ...
Automating Speed: A Proven Approach to Preventing Performance Regressions in ...Automating Speed: A Proven Approach to Preventing Performance Regressions in ...
Automating Speed: A Proven Approach to Preventing Performance Regressions in ...
 
Free OpManager training Part1- Discovery and classification season#3
Free OpManager training Part1- Discovery and classification season#3Free OpManager training Part1- Discovery and classification season#3
Free OpManager training Part1- Discovery and classification season#3
 
Angular 2 overview in 60 minutes
Angular 2 overview in 60 minutesAngular 2 overview in 60 minutes
Angular 2 overview in 60 minutes
 
Optimizing your use of react life cycles by shedrack akintayo
Optimizing your use of react life cycles by shedrack akintayoOptimizing your use of react life cycles by shedrack akintayo
Optimizing your use of react life cycles by shedrack akintayo
 
" Performance testing for Automation QA - why and how " by Andrey Kovalenko f...
" Performance testing for Automation QA - why and how " by Andrey Kovalenko f..." Performance testing for Automation QA - why and how " by Andrey Kovalenko f...
" Performance testing for Automation QA - why and how " by Andrey Kovalenko f...
 
About Qtp 92
About Qtp 92About Qtp 92
About Qtp 92
 
About QTP 9.2
About QTP 9.2About QTP 9.2
About QTP 9.2
 
About Qtp_1 92
About Qtp_1 92About Qtp_1 92
About Qtp_1 92
 
Performance Testing using Jmeter and Capacity Testing
Performance Testing using Jmeter and Capacity TestingPerformance Testing using Jmeter and Capacity Testing
Performance Testing using Jmeter and Capacity Testing
 
Spring aop
Spring aopSpring aop
Spring aop
 
Portfolio singh sarv parteek
Portfolio singh sarv parteekPortfolio singh sarv parteek
Portfolio singh sarv parteek
 
IRJET- Automatic Device Functional Testing
IRJET- Automatic Device Functional TestingIRJET- Automatic Device Functional Testing
IRJET- Automatic Device Functional Testing
 
Square photo update new
Square photo update newSquare photo update new
Square photo update new
 

More from Arrow Devices

More from Arrow Devices (6)

Arrow Devices MIPI MPHY Verification IP Solution
Arrow Devices MIPI MPHY Verification IP SolutionArrow Devices MIPI MPHY Verification IP Solution
Arrow Devices MIPI MPHY Verification IP Solution
 
Transport Layer (L4) of MIPI Unipro - An Introduction
Transport Layer (L4) of MIPI Unipro - An IntroductionTransport Layer (L4) of MIPI Unipro - An Introduction
Transport Layer (L4) of MIPI Unipro - An Introduction
 
Behavioral modeling of Clock/Data Recovery
Behavioral modeling of Clock/Data RecoveryBehavioral modeling of Clock/Data Recovery
Behavioral modeling of Clock/Data Recovery
 
Mastery: The key to Success and Happiness
Mastery: The key to Success and HappinessMastery: The key to Success and Happiness
Mastery: The key to Success and Happiness
 
Bidirectional Bus Modelling
Bidirectional Bus ModellingBidirectional Bus Modelling
Bidirectional Bus Modelling
 
FIFO Design
FIFO DesignFIFO Design
FIFO Design
 

Recently uploaded

notes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.pptnotes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.ppt
MsecMca
 
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
dharasingh5698
 

Recently uploaded (20)

Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
 
Thermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptThermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.ppt
 
Design For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startDesign For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the start
 
notes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.pptnotes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.ppt
 
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
 
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
 
Double rodded leveling 1 pdf activity 01
Double rodded leveling 1 pdf activity 01Double rodded leveling 1 pdf activity 01
Double rodded leveling 1 pdf activity 01
 
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance BookingCall Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
 
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced LoadsFEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
 
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
 
Unit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdfUnit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdf
 
Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024
 
data_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdfdata_management_and _data_science_cheat_sheet.pdf
data_management_and _data_science_cheat_sheet.pdf
 
Unleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leapUnleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leap
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghly
 
Call Girls Wakad Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Wakad Call Me 7737669865 Budget Friendly No Advance BookingCall Girls Wakad Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Wakad Call Me 7737669865 Budget Friendly No Advance Booking
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
 
Intro To Electric Vehicles PDF Notes.pdf
Intro To Electric Vehicles PDF Notes.pdfIntro To Electric Vehicles PDF Notes.pdf
Intro To Electric Vehicles PDF Notes.pdf
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
 

UVM: Basic Sequences

  • 1. Any use of this material without specific permission of Arrow Devices is strictly prohibited May 2015 UVM:  Basic  Sequences         Chandra  Bhushan  Singh  
  • 2. Topics  Covered   •  Sequence Item •  Sequencer •  Sequences 2 Arrow Devices Pvt Ltd
  • 4. Sequence Item Content Sequence Item consists of the following Ø  Control : What type of transfer, what size Ø  Payload : The main data content of the transfer Ø  Configuration : Setting up a new mode of operation, error behavior etc Ø  Analysis : Convenience fields which aid analysis - time stamps, rolling checksums etc Arrow Devices Pvt Ltd
  • 5.         Arrow Devices Pvt Ltd Randomiza7on  Considera7ons   Ø  Request properties should be rand Ø  Response properties should not be rand
  • 6. Example:  Sequence  Item   Arrow Devices Pvt Ltd    
  • 7. Arrow Devices Pvt Ltd Sequence  Item  Methods  
  • 8.         Sequencer         Arrow Devices Pvt Ltd
  • 9. Arrow Devices Pvt Ltd Sequencer  Characteris7cs   Sequencer has the following characteristics: Ø  Provides coordination between sequence and driver Ø  Sends transactions to driver Ø  Gets the response from the driver Ø  Responsible for arbitrating between the parallel sequences
  • 10. Arrow Devices Pvt Ltd Sequencer-­‐Driver  Connec7on  
  • 11.       Arrow Devices Pvt Ltd UVM  Sequencer  API   API’s Supported by UVM Sequencer Ø  get_next_item Ø  try_next_item Ø  item done Ø  peek Ø  get Ø  put
  • 12. Arrow Devices Pvt Ltd Recommended  Driver-­‐Sequencer   API   Ø  get_next_item() followed by item_done() Ø  get(req) followed by put(rsp) 1 2
  • 13.     Arrow Devices Pvt Ltd “get_next_item()”  followed  by   “item_done()”   1
  • 14. Arrow Devices Pvt Ltd “get(req)”  followed  by  “put(rsp)”  2
  • 15. Arrow Devices Pvt Ltd Sequences  
  • 16.       Arrow Devices Pvt Ltd Sequences   Ø  Derived from an uvm_sequence_item Ø  Parameterized with the type of seq_item Ø  Body method Ø  m_sequencer handle Sequences have the following characteristics:
  • 17. Arrow Devices Pvt Ltd Example:  Sequences  
  • 18. Arrow Devices Pvt Ltd Running  a  Sequence   Ø  Step 1: Create Sequence Ø  Step 2: Configure Sequence Ø  Step 3: Start the Sequence
  • 19. Arrow Devices Pvt Ltd  Step  1:  Create  Sequence  
  • 20. Arrow Devices Pvt Ltd Step  2:  Configure  Sequence   Ø  Set up start values Ø  Set up generation loop variables Ø  Set up pointers to test-bench resources
  • 21. Arrow Devices Pvt Ltd Step  3:  Start  Sequence     •  Call  to  its  start()  method  
  • 22. Arrow Devices Pvt Ltd Sequence  Item  To  A  Driver:     The  Flow   Ø  Creation of seq item Ø  Ready - start_item() Ø  Set - Randomization Ø  Go - finish_item() Ø  Response - get_response()
  • 23. Arrow Devices Pvt Ltd Sequence  Execu7on  Flow   Sequences have two types of execution Ø  Linear Execution Flow Ø  Parallel Execution Flow
  • 24. Arrow Devices Pvt Ltd Coding  Guideline   Ø  Do not use fork, join_any, disable fork Ø  Do not use fork join_none to exit a body method Ø  Do not fork join a sequence which contains a forever loop without terminating condition
  • 25. Arrow Devices Pvt Ltd Sequences  Overrides   Two types of overrides: Ø  Sequence Type Factory Override Ø  Sequence Instance Factory Override
  • 26. Arrow Devices Pvt Ltd Sequence  Type  Factory  Override  
  • 27. Arrow Devices Pvt Ltd Sequence  Instance  Factory   Override  
  • 28. Thank  you   Arrow Devices Pvt Ltd