SlideShare a Scribd company logo
1 of 25
Power Management
an embedded system perspective




              Patrick Bellasi

  Dipartimento di Elettronica ed Informazione
             Politecnico di Milano
             bellasi@elet.polimi.it



                                                12/12/08
Agenda

   Introduction
   Basic Principles of Power Consumption
   Low Power Design
      PM Techniques
      Architectural Blocks for PM




                                2
Introduction
  Why Low Power Design?

Why should we interest on Power Management?


                                   It make us happy!?


                                  The need of more and
                               more complex portable and
                              wireless applications requires
                              better effort on designing low
                                 power system solutions




                          3
Introduction
Low Power Design Concerns




                                         Cooling and
                                         energy costs
               Battery
               lifetime



 System
 reliability

                                Environmental concerns


                            4
Introduction
     Technology Trends

   Physical Gate Length decrease
         new IC are manufactured using sub-micro production technology
         process
         => increased sub-threshold leakage
   Transistors number increase
         more and more transistors are integrated within single chips
         => higher power density
   Frequency increase
         modern embedded systems could operate at hundreds MHz
   Performances increase
         more and more complex operations delivered by single chip
   Battery discharge rate is super-linearly related to the
    average power consumption in the VLSI circuits
         excessive discharge rate and varying load conditions will have a
         negative effect and shorten the battery life
                                     5
Power Consumption
     Leakage Components

   Main components                                                 I2
                                                                                Gate
                                                                                             I1
      Subthreshold Leakage                                                                        I3

      Gate Leakage
      Junction Leakage                                     Sourc                     Drain
                                                           e
   Other components
      Gate Induced Drain Leakage
      Impact Ionization current
   Overall and relative contribution depends on
    technology node
                                                  Very              Nano-scaled
                          Short Channel
           Long Channel                       Short Channel           L<90nm
                            L>180nm
              L>1um                              L>90nm              Tox<20Ao
                            Tox>30Ao
                                                Tox>20Ao
            Very Small                                             Subthreshold +
                          Subthreshold
             leakage                          Subthreshold +       Gate + Junction
                            leakage
                                               Gate leakage           leakage



                                          6
Power Consumption
     Basic Principles

                   2
      P = 0.5V DD f clock C L E sw t sc V DD I peak f 0  1V DD I l

   Switching (or dynamic) power
          E sw represents the probability that the output
          node makes a transition at each clock cycle
              models the fact that, in general, switching does
              not occur at the clock frequency
              it is called the switching activity of the gate
   Short-circuit power
   Leakage (or stand-by) power
          in older technologies (250nm and above) was marginal w.r.t.
          switching power
          in deep sub-micron processes becomes critical accounting for about
          35-50% of power budget at 90nm



                                             7
Power Consumption
     Technology Scaling Effects on Power Consumption

   Higher device densities
         smaller capacitance per gate to be charged
         and discharged                                   Increased
                                                       dynamic-power
         … but many more gates per chip                 consumptions
      => higher switched capacitance
   Higher clock frequencies

   Lower supply voltages
         lower switching power, lower speed               Increased
                                                       leakage-power
         … but lower threshold voltages                 consumptions
   Higher operating temperatures



                                    8
Power Consumption
Power Saving Opportunities




                             9
Power Consumption
     Architectural Power Reduction Approaches

                   2
      P = 0.5V DD f clock C L E sw t sc V DD I peak f 0  1V DD I l

   Switching (or dynamic) power
      reduce supply voltage
          quadratic effect => higher savings
          negative effect on performance
      reduce clock frequency
      reduce switched capacitance
      reduce wasteful switching
   Short-circuit power
   Leakage (or stand-by) power
      reduce supply voltage
   Many techniques apply at logical and physical level
                                        10
Architectural Blocks for PM
     Clock Domains

   Group of modules fed with the same gated clock
   Support clock gating
      cut a clock to a group of inactive modules to lower their
      active power consumption
         two possible states: active or inactive
      => control of dynamic power consumption




                                     11
Architectural Blocks for PM
     Power Domains

   Section of the device with dedicated power rails
   Supplied by two voltage sources
      VDD active voltage source (normal operating voltage)
      VRET retention voltage source
             less than active voltage => less power consumption
             logic and memory are not operational, but their content or state is retained




   Retention state
      in addition to on/off
         useful for quickly switching to low-power idle mode without losing the
         context and quickly switching back to active state when necessary

                                           12
Architectural Blocks for PM
     Voltage Domains

   Group of modules supplied by the same V regulator
      power consumptions can be controlled by regulating
      voltages independently
   Assign different operating V to the different modules
      voltage scaling of device subsections
         based on application performance requirements
   Lower voltage to reduce power consumption
      when all modules are inactive
      switch back to normal operating V
      only when a wake-up event is
      received




                                  13
Architectural Blocks for PM
OMAP35xx Voltage Domains




                           14
Device PM Architecture
     Domains Hierarchical Architecture

   Scalable/switchable voltage domains
   Switchable power domains
   Switchable clock domains
      subset of a power domain




                                  15
Device PM Architecture
      Interface and Functional Clocks

   Each module can have two type of clock
    Interface clocks (ICLK)               Functional clocks (FCLK)
       ensure proper communication            supply the functional part
       supply the module interface and        can have several or none at all
       registers                              several modules can share the
       can have several                       same
       synchronous across the entire device
       management is done at the device
       level




                                     16
Device PM Architecture
     Auto-idle Clock Control

   Device can supports an auto-idle clock control scheme
      for the module interface clocks (ICLK)
      executes under hardware control
         HW controller automatically activate/deactivate ICLK
   Two device module types
      Initiator (e.g. uP, DMA, MMU)
         can generate bus transactions (read, write, etc.)
         active: when generates transactions
      Target
         passive module that can process bus transactions
         active: when ICLK and some or all FCLK are available
   Idle modules can have ICLK gated
      can still receive functional clocks
         can generate interrupts, DMA requests, async wakeup-requests

                                     17
Power Consumption
     System Power Reduction Approaches

                   2
      P = 0.5V DD f clock C L E sw t sc V DD I peak f 0  1V DD I l

   Switching (or dynamic) power
      reduce supply voltage
          quadratic effect => higher savings
          negative effect on performance
      reduce clock frequency
      reduce switched capacitance
      reduce wasteful switching
   Short-circuit power
   Leakage (or stand-by) power
      reduce supply voltage
   Many techniques apply at logical and physical level
                                        18
Power Management Techniques
      DVFS - Dynamic Voltage and Frequency Scaling

   Allocate a variable amount of energy to perform a task
       power consumption of a digital CMOS circuits
                                              switching factor
                                       C eff   effectivecapacitance
              P = ⋅C eff⋅V 2⋅f
                                       V       operating voltage
                                       f       operating frequency
       energy required to run a task during T
              E = P⋅T ∝V 2             assuming f ∝V , T ∝ f −1 


    Lowering V, while simultaneously and proportionately
        cutting f, causes a quadratic reduction in E



                                  19
Power Management Techniques
     DVFS - Dynamic Voltage and Frequency Scaling

   Minimize system idle time
      dynamic selection of optimal frequency and voltage
         allow a task to be performed in the required amount of time
         while still meeting task requirements
   Operating Performance Points (OPP)
      a voltage (V) and frequency (F) pair
   The system always runs at the lowest OPP
    that meets the performance requirement at
    a given time
         => reduces both dynamic and leakage
         power consumption
   We must be able to identify optimal OOP


                                    20
Power Management Techniques
     DPS - Dynamic Power Switching

   Maximize system idle time
                                                   Energy
      automatic switch to a low-power mode        consumed
                                                     1.3J
         minimum power consumption
         if wake-up latency conditions allow it
      runs tasks at the highest OPP
         complete tasks quickly
   Aimed at reducing active power
    consumption                                    Energy
                                                  consumed
      reduces only leakage power consumption        1.15J

      introduce transitions overhead
         slight dynamic power consumption
         exit-latency
   Must predict dynamical performance
    requirement of applications
                                     21
Power Management Techniques
     SLM - Standby Leakage Management

   Trades static power consumption for wake-up latency
      remains in lowest static power mode
         compatible with the system response time requirement
   Similar to DPS
         switching the system between high- and low-power modes
      different operating timescales
         latency allowed for mode transitions
            DPS: compared to time constraints or deadlines of the application
            SLM: compared to user sensitivity so that they do not degrade user experience
      different context
         who define the transition constraints
            DPS: tasks are running and we must grant application performances
            SLM: applications not running and must grant system responsiveness
      different wake-up events
         events used to exit the low-power mode
            DPS: application-related, e.g. timer, DMA request, peripheral interrupt, ...
            SLM: user-related, e.g. touch screen, key pressed, peripheral connections, ...

                                         22
Power Management Techniques
     AVC - Adaptive Voltage Control

   Provide automatic control of the operating voltage
   Silicon performances/power trade-off
      depends on                                                   Power
                                                                 consumed
         technology process
         operating temperature variations
   Power-supply voltage is adapted
    to silicon performance
      statically
         based on performance points                                 Operating
                                                    Voltage
      dynamically                                   variation
                                                                    Performances
                                                                        Point
         based on the temperature-induced
         real-time performance of the device
   Achieves optimal performance/power trade-off
         for all devices and across the technology process spectrum and
         temperature variations
                                    23
Power Management Techniques
     Combining PM Techniques

   PM techniques are most effective when used under
    specific conditions
      best active power saving is
      obtained by combining them
    AVC
      boot-time: adapt voltage to device process
      characteristics
      always: compensate temperature variations
    DVFS
      varying application performances requirements
      without DPS to scale F while keeping the V constant        Operating Performances
                                                                         Points
           reduce peak power consumption
           improve temperature dissipation and battery life
    DPS
      performance requirements between two OPPs or below the lowest OPP
      with DVFS: always set F to max allowed at given V
    SLM
      no applications running and performance requirement drops to zero

                                             24
References
   AAVV - OMAP35xx Applications Processor - Technical Reference Manual. Texas Instruments, (Apr.
    2008), 249-654.




                                                25

More Related Content

What's hot

Contention based MAC protocols
Contention based  MAC protocolsContention based  MAC protocols
Contention based MAC protocolsDarwin Nesakumar
 
Arm cortex-m4 programmer model
Arm cortex-m4 programmer modelArm cortex-m4 programmer model
Arm cortex-m4 programmer modelMohammed Gomaa
 
ISSUES IN AD HOC WIRELESS NETWORKS
ISSUES IN  AD HOC WIRELESS  NETWORKS ISSUES IN  AD HOC WIRELESS  NETWORKS
ISSUES IN AD HOC WIRELESS NETWORKS Dushhyant Kumar
 
SENSOR NETWORK PLATFORMS AND TOOLS
SENSOR NETWORK PLATFORMS AND TOOLSSENSOR NETWORK PLATFORMS AND TOOLS
SENSOR NETWORK PLATFORMS AND TOOLSjuno susi
 
SPINS: Security Protocols for Sensor Networks
SPINS: Security Protocols for Sensor NetworksSPINS: Security Protocols for Sensor Networks
SPINS: Security Protocols for Sensor NetworksAbhijeet Awade
 
Traffic-adaptive Medium Access Protocol
Traffic-adaptive Medium Access ProtocolTraffic-adaptive Medium Access Protocol
Traffic-adaptive Medium Access ProtocolGaurav Chauhan
 
Cache performance considerations
Cache performance considerationsCache performance considerations
Cache performance considerationsSlideshare
 
Wireless Sensor Network Routing Protocols
Wireless Sensor Network Routing ProtocolsWireless Sensor Network Routing Protocols
Wireless Sensor Network Routing ProtocolsVirendra Thakur
 
energy efficient unicast
energy efficient unicastenergy efficient unicast
energy efficient unicastAravindM170274
 
Sensor Protocols for Information via Negotiation (SPIN)
Sensor Protocols for Information via Negotiation (SPIN)Sensor Protocols for Information via Negotiation (SPIN)
Sensor Protocols for Information via Negotiation (SPIN)rajivagarwal23dei
 
Schedule Based MAC Protocol
Schedule Based MAC ProtocolSchedule Based MAC Protocol
Schedule Based MAC ProtocolDarwin Nesakumar
 
Interfacing rs232
Interfacing rs232Interfacing rs232
Interfacing rs232PRADEEP
 
wireless sensor network
wireless sensor networkwireless sensor network
wireless sensor networkA. Shamel
 
Unit 4 -2 energy management in adhoc wireless network
Unit 4 -2 energy management in adhoc wireless networkUnit 4 -2 energy management in adhoc wireless network
Unit 4 -2 energy management in adhoc wireless networkdevika g
 
Transport control protocols for Wireless sensor networks
Transport control protocols for Wireless sensor networksTransport control protocols for Wireless sensor networks
Transport control protocols for Wireless sensor networksRushin Shah
 

What's hot (20)

Contention based MAC protocols
Contention based  MAC protocolsContention based  MAC protocols
Contention based MAC protocols
 
Leach
Leach Leach
Leach
 
ARM Processors
ARM ProcessorsARM Processors
ARM Processors
 
Arm cortex-m4 programmer model
Arm cortex-m4 programmer modelArm cortex-m4 programmer model
Arm cortex-m4 programmer model
 
ISSUES IN AD HOC WIRELESS NETWORKS
ISSUES IN  AD HOC WIRELESS  NETWORKS ISSUES IN  AD HOC WIRELESS  NETWORKS
ISSUES IN AD HOC WIRELESS NETWORKS
 
SENSOR NETWORK PLATFORMS AND TOOLS
SENSOR NETWORK PLATFORMS AND TOOLSSENSOR NETWORK PLATFORMS AND TOOLS
SENSOR NETWORK PLATFORMS AND TOOLS
 
Sram pdf
Sram pdfSram pdf
Sram pdf
 
SPINS: Security Protocols for Sensor Networks
SPINS: Security Protocols for Sensor NetworksSPINS: Security Protocols for Sensor Networks
SPINS: Security Protocols for Sensor Networks
 
Traffic-adaptive Medium Access Protocol
Traffic-adaptive Medium Access ProtocolTraffic-adaptive Medium Access Protocol
Traffic-adaptive Medium Access Protocol
 
Cache performance considerations
Cache performance considerationsCache performance considerations
Cache performance considerations
 
Wireless Sensor Network Routing Protocols
Wireless Sensor Network Routing ProtocolsWireless Sensor Network Routing Protocols
Wireless Sensor Network Routing Protocols
 
energy efficient unicast
energy efficient unicastenergy efficient unicast
energy efficient unicast
 
Sensor Protocols for Information via Negotiation (SPIN)
Sensor Protocols for Information via Negotiation (SPIN)Sensor Protocols for Information via Negotiation (SPIN)
Sensor Protocols for Information via Negotiation (SPIN)
 
Schedule Based MAC Protocol
Schedule Based MAC ProtocolSchedule Based MAC Protocol
Schedule Based MAC Protocol
 
Raspberry Pi
Raspberry Pi Raspberry Pi
Raspberry Pi
 
Interfacing rs232
Interfacing rs232Interfacing rs232
Interfacing rs232
 
wireless sensor network
wireless sensor networkwireless sensor network
wireless sensor network
 
Unit 4 -2 energy management in adhoc wireless network
Unit 4 -2 energy management in adhoc wireless networkUnit 4 -2 energy management in adhoc wireless network
Unit 4 -2 energy management in adhoc wireless network
 
CELLULAR MOBILE RADIO SYSTEMS
CELLULAR MOBILE RADIO SYSTEMSCELLULAR MOBILE RADIO SYSTEMS
CELLULAR MOBILE RADIO SYSTEMS
 
Transport control protocols for Wireless sensor networks
Transport control protocols for Wireless sensor networksTransport control protocols for Wireless sensor networks
Transport control protocols for Wireless sensor networks
 

Viewers also liked

Power Management in Embedded Systems
Power Management in Embedded Systems Power Management in Embedded Systems
Power Management in Embedded Systems mentoresd
 
Android power management
Android power managementAndroid power management
Android power managementJerrin George
 
Analysis of leakage current calculation for nanoscale MOSFET and FinFET
Analysis of leakage current calculation for nanoscale MOSFET and FinFETAnalysis of leakage current calculation for nanoscale MOSFET and FinFET
Analysis of leakage current calculation for nanoscale MOSFET and FinFETIJTET Journal
 
Linux Power Management Slideshare
Linux Power Management SlideshareLinux Power Management Slideshare
Linux Power Management SlidesharePatrick Bellasi
 
Unit 1 embedded systems and applications
Unit 1 embedded systems and applicationsUnit 1 embedded systems and applications
Unit 1 embedded systems and applicationsDr.YNM
 
Entrepreneurship faculty as facilitator-shivoo
Entrepreneurship faculty as facilitator-shivooEntrepreneurship faculty as facilitator-shivoo
Entrepreneurship faculty as facilitator-shivooDr. Shivananda Koteshwar
 
Galvanic isolation & Triad Semiconductor Mixed-Signal ASIC Solutions
Galvanic isolation & Triad Semiconductor Mixed-Signal ASIC SolutionsGalvanic isolation & Triad Semiconductor Mixed-Signal ASIC Solutions
Galvanic isolation & Triad Semiconductor Mixed-Signal ASIC SolutionsTriad Semiconductor
 
LCE12: How to measure SoC power
LCE12: How to measure SoC powerLCE12: How to measure SoC power
LCE12: How to measure SoC powerLinaro
 
SoC - System on Chip
SoC - System on ChipSoC - System on Chip
SoC - System on ChipSatya Harish
 
Linaro Connect 2016 (BKK16) - Introduction to LISA
Linaro Connect 2016 (BKK16) - Introduction to LISALinaro Connect 2016 (BKK16) - Introduction to LISA
Linaro Connect 2016 (BKK16) - Introduction to LISAPatrick Bellasi
 
Constrained Power Management
Constrained Power ManagementConstrained Power Management
Constrained Power ManagementPatrick Bellasi
 
Evoluzione dei Sistemi Embedded: Verso architetture multi-core
Evoluzione dei Sistemi Embedded: Verso architetture multi-coreEvoluzione dei Sistemi Embedded: Verso architetture multi-core
Evoluzione dei Sistemi Embedded: Verso architetture multi-corePatrick Bellasi
 
Cross-Layer Frameworks for Constrained Power and Resources Management of Embe...
Cross-Layer Frameworks for Constrained Power and Resources Management of Embe...Cross-Layer Frameworks for Constrained Power and Resources Management of Embe...
Cross-Layer Frameworks for Constrained Power and Resources Management of Embe...Patrick Bellasi
 
Power management
Power managementPower management
Power managementScott Shu
 
LCA13: Power State Coordination Interface
LCA13: Power State Coordination InterfaceLCA13: Power State Coordination Interface
LCA13: Power State Coordination InterfaceLinaro
 
U boot 程式碼打掃計畫
U boot 程式碼打掃計畫U boot 程式碼打掃計畫
U boot 程式碼打掃計畫Macpaul Lin
 

Viewers also liked (20)

Power Management in Embedded Systems
Power Management in Embedded Systems Power Management in Embedded Systems
Power Management in Embedded Systems
 
SoC Power Reduction
SoC Power ReductionSoC Power Reduction
SoC Power Reduction
 
SOC Power Estimation
SOC Power EstimationSOC Power Estimation
SOC Power Estimation
 
Android power management
Android power managementAndroid power management
Android power management
 
Analysis of leakage current calculation for nanoscale MOSFET and FinFET
Analysis of leakage current calculation for nanoscale MOSFET and FinFETAnalysis of leakage current calculation for nanoscale MOSFET and FinFET
Analysis of leakage current calculation for nanoscale MOSFET and FinFET
 
Low Power Techniques
Low Power TechniquesLow Power Techniques
Low Power Techniques
 
Linux Power Management Slideshare
Linux Power Management SlideshareLinux Power Management Slideshare
Linux Power Management Slideshare
 
Power Management from Linux Kernel to Android
Power Management from Linux Kernel to AndroidPower Management from Linux Kernel to Android
Power Management from Linux Kernel to Android
 
Unit 1 embedded systems and applications
Unit 1 embedded systems and applicationsUnit 1 embedded systems and applications
Unit 1 embedded systems and applications
 
Entrepreneurship faculty as facilitator-shivoo
Entrepreneurship faculty as facilitator-shivooEntrepreneurship faculty as facilitator-shivoo
Entrepreneurship faculty as facilitator-shivoo
 
Galvanic isolation & Triad Semiconductor Mixed-Signal ASIC Solutions
Galvanic isolation & Triad Semiconductor Mixed-Signal ASIC SolutionsGalvanic isolation & Triad Semiconductor Mixed-Signal ASIC Solutions
Galvanic isolation & Triad Semiconductor Mixed-Signal ASIC Solutions
 
LCE12: How to measure SoC power
LCE12: How to measure SoC powerLCE12: How to measure SoC power
LCE12: How to measure SoC power
 
SoC - System on Chip
SoC - System on ChipSoC - System on Chip
SoC - System on Chip
 
Linaro Connect 2016 (BKK16) - Introduction to LISA
Linaro Connect 2016 (BKK16) - Introduction to LISALinaro Connect 2016 (BKK16) - Introduction to LISA
Linaro Connect 2016 (BKK16) - Introduction to LISA
 
Constrained Power Management
Constrained Power ManagementConstrained Power Management
Constrained Power Management
 
Evoluzione dei Sistemi Embedded: Verso architetture multi-core
Evoluzione dei Sistemi Embedded: Verso architetture multi-coreEvoluzione dei Sistemi Embedded: Verso architetture multi-core
Evoluzione dei Sistemi Embedded: Verso architetture multi-core
 
Cross-Layer Frameworks for Constrained Power and Resources Management of Embe...
Cross-Layer Frameworks for Constrained Power and Resources Management of Embe...Cross-Layer Frameworks for Constrained Power and Resources Management of Embe...
Cross-Layer Frameworks for Constrained Power and Resources Management of Embe...
 
Power management
Power managementPower management
Power management
 
LCA13: Power State Coordination Interface
LCA13: Power State Coordination InterfaceLCA13: Power State Coordination Interface
LCA13: Power State Coordination Interface
 
U boot 程式碼打掃計畫
U boot 程式碼打掃計畫U boot 程式碼打掃計畫
U boot 程式碼打掃計畫
 

Similar to Embedded Systems Power Management

POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITPOWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITAnil Yadav
 
Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...IJSRED
 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignRajesh_navandar
 
Reducing Electrical Control Panel Size
Reducing Electrical Control Panel SizeReducing Electrical Control Panel Size
Reducing Electrical Control Panel SizeCMA/Flodyne/Hydradyne
 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1SUNODH GARLAPATI
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueIJERA Editor
 
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
Analysis Of Power Dissipation  Amp  Low Power VLSI Chip DesignAnalysis Of Power Dissipation  Amp  Low Power VLSI Chip Design
Analysis Of Power Dissipation Amp Low Power VLSI Chip DesignBryce Nelson
 
Analysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignAnalysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignEditor IJMTER
 
Nabeelpbm1998@gmail.com
Nabeelpbm1998@gmail.comNabeelpbm1998@gmail.com
Nabeelpbm1998@gmail.comnabeelavulan
 
CYCLO CONVERTER USING THYRISTORS
CYCLO CONVERTER USING THYRISTORSCYCLO CONVERTER USING THYRISTORS
CYCLO CONVERTER USING THYRISTORSKartik Bolar
 
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...IOSRJVSP
 
three phase fault analysis with auto reset for temporary fault and trip for p...
three phase fault analysis with auto reset for temporary fault and trip for p...three phase fault analysis with auto reset for temporary fault and trip for p...
three phase fault analysis with auto reset for temporary fault and trip for p...Vikram Rawani
 
Power Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise ReductionPower Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise ReductionIJERA Editor
 
DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...
DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...
DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...Pradeep Avanigadda
 
Original Power Supply IC LNK364DN LNK364DG SOP-7 New
Original Power Supply IC LNK364DN LNK364DG SOP-7 NewOriginal Power Supply IC LNK364DN LNK364DG SOP-7 New
Original Power Supply IC LNK364DN LNK364DG SOP-7 NewAUTHELECTRONIC
 
IRJET- Embedded System based Multi-Source Leakage Current Protection for Low ...
IRJET- Embedded System based Multi-Source Leakage Current Protection for Low ...IRJET- Embedded System based Multi-Source Leakage Current Protection for Low ...
IRJET- Embedded System based Multi-Source Leakage Current Protection for Low ...IRJET Journal
 
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...VLSICS Design
 
Selective Coordination "The Rest of the Story"
Selective Coordination "The Rest of the Story"Selective Coordination "The Rest of the Story"
Selective Coordination "The Rest of the Story"michaeljmack
 

Similar to Embedded Systems Power Management (20)

Low power embedded system design
Low power embedded system designLow power embedded system design
Low power embedded system design
 
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITPOWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
 
Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...
 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC Design
 
Reducing Electrical Control Panel Size
Reducing Electrical Control Panel SizeReducing Electrical Control Panel Size
Reducing Electrical Control Panel Size
 
Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1Low power in vlsi with upf basics part 1
Low power in vlsi with upf basics part 1
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating Technique
 
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
Analysis Of Power Dissipation  Amp  Low Power VLSI Chip DesignAnalysis Of Power Dissipation  Amp  Low Power VLSI Chip Design
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
 
Analysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignAnalysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip Design
 
Nabeelpbm1998@gmail.com
Nabeelpbm1998@gmail.comNabeelpbm1998@gmail.com
Nabeelpbm1998@gmail.com
 
CYCLO CONVERTER USING THYRISTORS
CYCLO CONVERTER USING THYRISTORSCYCLO CONVERTER USING THYRISTORS
CYCLO CONVERTER USING THYRISTORS
 
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
 
three phase fault analysis with auto reset for temporary fault and trip for p...
three phase fault analysis with auto reset for temporary fault and trip for p...three phase fault analysis with auto reset for temporary fault and trip for p...
three phase fault analysis with auto reset for temporary fault and trip for p...
 
Power Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise ReductionPower Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise Reduction
 
DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...
DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...
DETECTING POWER GRID SYNCHRONISATION FAILURE ON SENSING BAD VOLTAGE OR FREQUE...
 
Original Power Supply IC LNK364DN LNK364DG SOP-7 New
Original Power Supply IC LNK364DN LNK364DG SOP-7 NewOriginal Power Supply IC LNK364DN LNK364DG SOP-7 New
Original Power Supply IC LNK364DN LNK364DG SOP-7 New
 
IRJET- Embedded System based Multi-Source Leakage Current Protection for Low ...
IRJET- Embedded System based Multi-Source Leakage Current Protection for Low ...IRJET- Embedded System based Multi-Source Leakage Current Protection for Low ...
IRJET- Embedded System based Multi-Source Leakage Current Protection for Low ...
 
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
 
How to build a Inverters
How to build a InvertersHow to build a Inverters
How to build a Inverters
 
Selective Coordination "The Rest of the Story"
Selective Coordination "The Rest of the Story"Selective Coordination "The Rest of the Story"
Selective Coordination "The Rest of the Story"
 

Recently uploaded

4.16.24 21st Century Movements for Black Lives.pptx
4.16.24 21st Century Movements for Black Lives.pptx4.16.24 21st Century Movements for Black Lives.pptx
4.16.24 21st Century Movements for Black Lives.pptxmary850239
 
Earth Day Presentation wow hello nice great
Earth Day Presentation wow hello nice greatEarth Day Presentation wow hello nice great
Earth Day Presentation wow hello nice greatYousafMalik24
 
Q4-PPT-Music9_Lesson-1-Romantic-Opera.pptx
Q4-PPT-Music9_Lesson-1-Romantic-Opera.pptxQ4-PPT-Music9_Lesson-1-Romantic-Opera.pptx
Q4-PPT-Music9_Lesson-1-Romantic-Opera.pptxlancelewisportillo
 
Inclusivity Essentials_ Creating Accessible Websites for Nonprofits .pdf
Inclusivity Essentials_ Creating Accessible Websites for Nonprofits .pdfInclusivity Essentials_ Creating Accessible Websites for Nonprofits .pdf
Inclusivity Essentials_ Creating Accessible Websites for Nonprofits .pdfTechSoup
 
Student Profile Sample - We help schools to connect the data they have, with ...
Student Profile Sample - We help schools to connect the data they have, with ...Student Profile Sample - We help schools to connect the data they have, with ...
Student Profile Sample - We help schools to connect the data they have, with ...Seán Kennedy
 
How to do quick user assign in kanban in Odoo 17 ERP
How to do quick user assign in kanban in Odoo 17 ERPHow to do quick user assign in kanban in Odoo 17 ERP
How to do quick user assign in kanban in Odoo 17 ERPCeline George
 
AUDIENCE THEORY -CULTIVATION THEORY - GERBNER.pptx
AUDIENCE THEORY -CULTIVATION THEORY -  GERBNER.pptxAUDIENCE THEORY -CULTIVATION THEORY -  GERBNER.pptx
AUDIENCE THEORY -CULTIVATION THEORY - GERBNER.pptxiammrhaywood
 
Influencing policy (training slides from Fast Track Impact)
Influencing policy (training slides from Fast Track Impact)Influencing policy (training slides from Fast Track Impact)
Influencing policy (training slides from Fast Track Impact)Mark Reed
 
Virtual-Orientation-on-the-Administration-of-NATG12-NATG6-and-ELLNA.pdf
Virtual-Orientation-on-the-Administration-of-NATG12-NATG6-and-ELLNA.pdfVirtual-Orientation-on-the-Administration-of-NATG12-NATG6-and-ELLNA.pdf
Virtual-Orientation-on-the-Administration-of-NATG12-NATG6-and-ELLNA.pdfErwinPantujan2
 
Karra SKD Conference Presentation Revised.pptx
Karra SKD Conference Presentation Revised.pptxKarra SKD Conference Presentation Revised.pptx
Karra SKD Conference Presentation Revised.pptxAshokKarra1
 
ICS2208 Lecture6 Notes for SL spaces.pdf
ICS2208 Lecture6 Notes for SL spaces.pdfICS2208 Lecture6 Notes for SL spaces.pdf
ICS2208 Lecture6 Notes for SL spaces.pdfVanessa Camilleri
 
Integumentary System SMP B. Pharm Sem I.ppt
Integumentary System SMP B. Pharm Sem I.pptIntegumentary System SMP B. Pharm Sem I.ppt
Integumentary System SMP B. Pharm Sem I.pptshraddhaparab530
 
Global Lehigh Strategic Initiatives (without descriptions)
Global Lehigh Strategic Initiatives (without descriptions)Global Lehigh Strategic Initiatives (without descriptions)
Global Lehigh Strategic Initiatives (without descriptions)cama23
 
Grade 9 Quarter 4 Dll Grade 9 Quarter 4 DLL.pdf
Grade 9 Quarter 4 Dll Grade 9 Quarter 4 DLL.pdfGrade 9 Quarter 4 Dll Grade 9 Quarter 4 DLL.pdf
Grade 9 Quarter 4 Dll Grade 9 Quarter 4 DLL.pdfJemuel Francisco
 
Concurrency Control in Database Management system
Concurrency Control in Database Management systemConcurrency Control in Database Management system
Concurrency Control in Database Management systemChristalin Nelson
 
Daily Lesson Plan in Mathematics Quarter 4
Daily Lesson Plan in Mathematics Quarter 4Daily Lesson Plan in Mathematics Quarter 4
Daily Lesson Plan in Mathematics Quarter 4JOYLYNSAMANIEGO
 
Barangay Council for the Protection of Children (BCPC) Orientation.pptx
Barangay Council for the Protection of Children (BCPC) Orientation.pptxBarangay Council for the Protection of Children (BCPC) Orientation.pptx
Barangay Council for the Protection of Children (BCPC) Orientation.pptxCarlos105
 

Recently uploaded (20)

LEFT_ON_C'N_ PRELIMS_EL_DORADO_2024.pptx
LEFT_ON_C'N_ PRELIMS_EL_DORADO_2024.pptxLEFT_ON_C'N_ PRELIMS_EL_DORADO_2024.pptx
LEFT_ON_C'N_ PRELIMS_EL_DORADO_2024.pptx
 
4.16.24 21st Century Movements for Black Lives.pptx
4.16.24 21st Century Movements for Black Lives.pptx4.16.24 21st Century Movements for Black Lives.pptx
4.16.24 21st Century Movements for Black Lives.pptx
 
Earth Day Presentation wow hello nice great
Earth Day Presentation wow hello nice greatEarth Day Presentation wow hello nice great
Earth Day Presentation wow hello nice great
 
Q4-PPT-Music9_Lesson-1-Romantic-Opera.pptx
Q4-PPT-Music9_Lesson-1-Romantic-Opera.pptxQ4-PPT-Music9_Lesson-1-Romantic-Opera.pptx
Q4-PPT-Music9_Lesson-1-Romantic-Opera.pptx
 
YOUVE GOT EMAIL_FINALS_EL_DORADO_2024.pptx
YOUVE GOT EMAIL_FINALS_EL_DORADO_2024.pptxYOUVE GOT EMAIL_FINALS_EL_DORADO_2024.pptx
YOUVE GOT EMAIL_FINALS_EL_DORADO_2024.pptx
 
Inclusivity Essentials_ Creating Accessible Websites for Nonprofits .pdf
Inclusivity Essentials_ Creating Accessible Websites for Nonprofits .pdfInclusivity Essentials_ Creating Accessible Websites for Nonprofits .pdf
Inclusivity Essentials_ Creating Accessible Websites for Nonprofits .pdf
 
Student Profile Sample - We help schools to connect the data they have, with ...
Student Profile Sample - We help schools to connect the data they have, with ...Student Profile Sample - We help schools to connect the data they have, with ...
Student Profile Sample - We help schools to connect the data they have, with ...
 
How to do quick user assign in kanban in Odoo 17 ERP
How to do quick user assign in kanban in Odoo 17 ERPHow to do quick user assign in kanban in Odoo 17 ERP
How to do quick user assign in kanban in Odoo 17 ERP
 
YOUVE_GOT_EMAIL_PRELIMS_EL_DORADO_2024.pptx
YOUVE_GOT_EMAIL_PRELIMS_EL_DORADO_2024.pptxYOUVE_GOT_EMAIL_PRELIMS_EL_DORADO_2024.pptx
YOUVE_GOT_EMAIL_PRELIMS_EL_DORADO_2024.pptx
 
AUDIENCE THEORY -CULTIVATION THEORY - GERBNER.pptx
AUDIENCE THEORY -CULTIVATION THEORY -  GERBNER.pptxAUDIENCE THEORY -CULTIVATION THEORY -  GERBNER.pptx
AUDIENCE THEORY -CULTIVATION THEORY - GERBNER.pptx
 
Influencing policy (training slides from Fast Track Impact)
Influencing policy (training slides from Fast Track Impact)Influencing policy (training slides from Fast Track Impact)
Influencing policy (training slides from Fast Track Impact)
 
Virtual-Orientation-on-the-Administration-of-NATG12-NATG6-and-ELLNA.pdf
Virtual-Orientation-on-the-Administration-of-NATG12-NATG6-and-ELLNA.pdfVirtual-Orientation-on-the-Administration-of-NATG12-NATG6-and-ELLNA.pdf
Virtual-Orientation-on-the-Administration-of-NATG12-NATG6-and-ELLNA.pdf
 
Karra SKD Conference Presentation Revised.pptx
Karra SKD Conference Presentation Revised.pptxKarra SKD Conference Presentation Revised.pptx
Karra SKD Conference Presentation Revised.pptx
 
ICS2208 Lecture6 Notes for SL spaces.pdf
ICS2208 Lecture6 Notes for SL spaces.pdfICS2208 Lecture6 Notes for SL spaces.pdf
ICS2208 Lecture6 Notes for SL spaces.pdf
 
Integumentary System SMP B. Pharm Sem I.ppt
Integumentary System SMP B. Pharm Sem I.pptIntegumentary System SMP B. Pharm Sem I.ppt
Integumentary System SMP B. Pharm Sem I.ppt
 
Global Lehigh Strategic Initiatives (without descriptions)
Global Lehigh Strategic Initiatives (without descriptions)Global Lehigh Strategic Initiatives (without descriptions)
Global Lehigh Strategic Initiatives (without descriptions)
 
Grade 9 Quarter 4 Dll Grade 9 Quarter 4 DLL.pdf
Grade 9 Quarter 4 Dll Grade 9 Quarter 4 DLL.pdfGrade 9 Quarter 4 Dll Grade 9 Quarter 4 DLL.pdf
Grade 9 Quarter 4 Dll Grade 9 Quarter 4 DLL.pdf
 
Concurrency Control in Database Management system
Concurrency Control in Database Management systemConcurrency Control in Database Management system
Concurrency Control in Database Management system
 
Daily Lesson Plan in Mathematics Quarter 4
Daily Lesson Plan in Mathematics Quarter 4Daily Lesson Plan in Mathematics Quarter 4
Daily Lesson Plan in Mathematics Quarter 4
 
Barangay Council for the Protection of Children (BCPC) Orientation.pptx
Barangay Council for the Protection of Children (BCPC) Orientation.pptxBarangay Council for the Protection of Children (BCPC) Orientation.pptx
Barangay Council for the Protection of Children (BCPC) Orientation.pptx
 

Embedded Systems Power Management

  • 1. Power Management an embedded system perspective Patrick Bellasi Dipartimento di Elettronica ed Informazione Politecnico di Milano bellasi@elet.polimi.it 12/12/08
  • 2. Agenda  Introduction  Basic Principles of Power Consumption  Low Power Design PM Techniques Architectural Blocks for PM 2
  • 3. Introduction Why Low Power Design? Why should we interest on Power Management? It make us happy!? The need of more and more complex portable and wireless applications requires better effort on designing low power system solutions 3
  • 4. Introduction Low Power Design Concerns Cooling and energy costs Battery lifetime System reliability Environmental concerns 4
  • 5. Introduction Technology Trends  Physical Gate Length decrease new IC are manufactured using sub-micro production technology process => increased sub-threshold leakage  Transistors number increase more and more transistors are integrated within single chips => higher power density  Frequency increase modern embedded systems could operate at hundreds MHz  Performances increase more and more complex operations delivered by single chip  Battery discharge rate is super-linearly related to the average power consumption in the VLSI circuits excessive discharge rate and varying load conditions will have a negative effect and shorten the battery life 5
  • 6. Power Consumption Leakage Components  Main components I2 Gate I1 Subthreshold Leakage I3 Gate Leakage Junction Leakage Sourc Drain e  Other components Gate Induced Drain Leakage Impact Ionization current  Overall and relative contribution depends on technology node Very Nano-scaled Short Channel Long Channel Short Channel L<90nm L>180nm L>1um L>90nm Tox<20Ao Tox>30Ao Tox>20Ao Very Small Subthreshold + Subthreshold leakage Subthreshold + Gate + Junction leakage Gate leakage leakage 6
  • 7. Power Consumption Basic Principles 2 P = 0.5V DD f clock C L E sw t sc V DD I peak f 0  1V DD I l  Switching (or dynamic) power E sw represents the probability that the output node makes a transition at each clock cycle models the fact that, in general, switching does not occur at the clock frequency it is called the switching activity of the gate  Short-circuit power  Leakage (or stand-by) power in older technologies (250nm and above) was marginal w.r.t. switching power in deep sub-micron processes becomes critical accounting for about 35-50% of power budget at 90nm 7
  • 8. Power Consumption Technology Scaling Effects on Power Consumption  Higher device densities smaller capacitance per gate to be charged and discharged Increased dynamic-power … but many more gates per chip consumptions => higher switched capacitance  Higher clock frequencies  Lower supply voltages lower switching power, lower speed Increased leakage-power … but lower threshold voltages consumptions  Higher operating temperatures 8
  • 10. Power Consumption Architectural Power Reduction Approaches 2 P = 0.5V DD f clock C L E sw t sc V DD I peak f 0  1V DD I l  Switching (or dynamic) power reduce supply voltage quadratic effect => higher savings negative effect on performance reduce clock frequency reduce switched capacitance reduce wasteful switching  Short-circuit power  Leakage (or stand-by) power reduce supply voltage  Many techniques apply at logical and physical level 10
  • 11. Architectural Blocks for PM Clock Domains  Group of modules fed with the same gated clock  Support clock gating cut a clock to a group of inactive modules to lower their active power consumption two possible states: active or inactive => control of dynamic power consumption 11
  • 12. Architectural Blocks for PM Power Domains  Section of the device with dedicated power rails  Supplied by two voltage sources VDD active voltage source (normal operating voltage) VRET retention voltage source less than active voltage => less power consumption logic and memory are not operational, but their content or state is retained  Retention state in addition to on/off useful for quickly switching to low-power idle mode without losing the context and quickly switching back to active state when necessary 12
  • 13. Architectural Blocks for PM Voltage Domains  Group of modules supplied by the same V regulator power consumptions can be controlled by regulating voltages independently  Assign different operating V to the different modules voltage scaling of device subsections based on application performance requirements  Lower voltage to reduce power consumption when all modules are inactive switch back to normal operating V only when a wake-up event is received 13
  • 14. Architectural Blocks for PM OMAP35xx Voltage Domains 14
  • 15. Device PM Architecture Domains Hierarchical Architecture  Scalable/switchable voltage domains  Switchable power domains  Switchable clock domains subset of a power domain 15
  • 16. Device PM Architecture Interface and Functional Clocks  Each module can have two type of clock Interface clocks (ICLK) Functional clocks (FCLK) ensure proper communication supply the functional part supply the module interface and can have several or none at all registers several modules can share the can have several same synchronous across the entire device management is done at the device level 16
  • 17. Device PM Architecture Auto-idle Clock Control  Device can supports an auto-idle clock control scheme for the module interface clocks (ICLK) executes under hardware control HW controller automatically activate/deactivate ICLK  Two device module types Initiator (e.g. uP, DMA, MMU) can generate bus transactions (read, write, etc.) active: when generates transactions Target passive module that can process bus transactions active: when ICLK and some or all FCLK are available  Idle modules can have ICLK gated can still receive functional clocks can generate interrupts, DMA requests, async wakeup-requests 17
  • 18. Power Consumption System Power Reduction Approaches 2 P = 0.5V DD f clock C L E sw t sc V DD I peak f 0  1V DD I l  Switching (or dynamic) power reduce supply voltage quadratic effect => higher savings negative effect on performance reduce clock frequency reduce switched capacitance reduce wasteful switching  Short-circuit power  Leakage (or stand-by) power reduce supply voltage  Many techniques apply at logical and physical level 18
  • 19. Power Management Techniques DVFS - Dynamic Voltage and Frequency Scaling  Allocate a variable amount of energy to perform a task power consumption of a digital CMOS circuits  switching factor C eff effectivecapacitance P = ⋅C eff⋅V 2⋅f V operating voltage f operating frequency energy required to run a task during T E = P⋅T ∝V 2 assuming f ∝V , T ∝ f −1  Lowering V, while simultaneously and proportionately cutting f, causes a quadratic reduction in E 19
  • 20. Power Management Techniques DVFS - Dynamic Voltage and Frequency Scaling  Minimize system idle time dynamic selection of optimal frequency and voltage allow a task to be performed in the required amount of time while still meeting task requirements  Operating Performance Points (OPP) a voltage (V) and frequency (F) pair  The system always runs at the lowest OPP that meets the performance requirement at a given time => reduces both dynamic and leakage power consumption  We must be able to identify optimal OOP 20
  • 21. Power Management Techniques DPS - Dynamic Power Switching  Maximize system idle time Energy automatic switch to a low-power mode consumed 1.3J minimum power consumption if wake-up latency conditions allow it runs tasks at the highest OPP complete tasks quickly  Aimed at reducing active power consumption Energy consumed reduces only leakage power consumption 1.15J introduce transitions overhead slight dynamic power consumption exit-latency  Must predict dynamical performance requirement of applications 21
  • 22. Power Management Techniques SLM - Standby Leakage Management  Trades static power consumption for wake-up latency remains in lowest static power mode compatible with the system response time requirement  Similar to DPS switching the system between high- and low-power modes different operating timescales latency allowed for mode transitions DPS: compared to time constraints or deadlines of the application SLM: compared to user sensitivity so that they do not degrade user experience different context who define the transition constraints DPS: tasks are running and we must grant application performances SLM: applications not running and must grant system responsiveness different wake-up events events used to exit the low-power mode DPS: application-related, e.g. timer, DMA request, peripheral interrupt, ... SLM: user-related, e.g. touch screen, key pressed, peripheral connections, ... 22
  • 23. Power Management Techniques AVC - Adaptive Voltage Control  Provide automatic control of the operating voltage  Silicon performances/power trade-off depends on Power consumed technology process operating temperature variations  Power-supply voltage is adapted to silicon performance statically based on performance points Operating Voltage dynamically variation Performances Point based on the temperature-induced real-time performance of the device  Achieves optimal performance/power trade-off for all devices and across the technology process spectrum and temperature variations 23
  • 24. Power Management Techniques Combining PM Techniques  PM techniques are most effective when used under specific conditions best active power saving is obtained by combining them AVC boot-time: adapt voltage to device process characteristics always: compensate temperature variations DVFS varying application performances requirements without DPS to scale F while keeping the V constant Operating Performances Points reduce peak power consumption improve temperature dissipation and battery life DPS performance requirements between two OPPs or below the lowest OPP with DVFS: always set F to max allowed at given V SLM no applications running and performance requirement drops to zero 24
  • 25. References  AAVV - OMAP35xx Applications Processor - Technical Reference Manual. Texas Instruments, (Apr. 2008), 249-654. 25