SlideShare a Scribd company logo
1 of 4
Download to read offline
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 07 Issue: 04 | Apr 2020 www.irjet.net p-ISSN: 2395-0072
© 2020, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2177
A SPECULATIVE APPROXIMATE ADDER FOR ERROR RECOVERY UNIT
S.Sireesha1, Dr.T. Lalith Kumar2, T.Vijaya Nirmala3
1PG student, Dept. of ECE, Annamacharya Institute of Technology and Sciences, Kadapa, Andhra Pradesh, India
2professor, Dept. of ECE, Annamacharya Institute of Technology and Sciences, Kadapa, Andhra Pradesh, India
3Asst.professor, Dept. of ECE, Annamacharya Institute of Technology and Sciences, Kadapa, Andhra Pradesh, India
---------------------------------------------------------------------***--------------------------------------------------------------------
Abstract - In this paper, a low delay consumption block-
based carry speculative approximate adder is expected. Its
design is based on partitioning the adder into some non-
overlapped summation blocks whose designs may be
selected from both the carry propagate and parallel-prefix
adders. Here, the carry output of each block is speculated
based on the input operands of the block itself and those of
the next block. In this adder, the length of the carry chain is
reduced to two blocks (worst case), whereinmostcasesonly
one block is employed to calculate the carry output leading
to a lower average delay. In addition, to reduce the output
error rate an lower delay is also achieved through error
detection and recovery mechanism.
Key Words: Approximate computing, Low delay,
Speculative Adder.
I. INTRODUCTION
In stream digital networks, one of the code
restraint is the thermal style power (TSP) couldmaximize
the concert of digitalnetworks.Oneoftheapproacheswill
aidtogain the most out of this restraint in the usage of the
accurate computing approaches. It may be usaged for
application arena such as multimedia and image
processing, digital signal processing, wireless
communication,machinelearning,anddata mining which
are naturally error-resilient. The approach maybeusedto
realizemoreenergyreductionand/orconcertatthecharge
of some accuracy loss. In current years, different accurate
computing approaches at various applications for
software/hardwarelevelshavebeenschedule.Illustration
includes thread fusion and tunable kerenals, accurate
accelerator, impresice logic/arthimetic unit and
approximate instruction setarchitecture(ISA).Inthistask,
we deal with approximate adders whichareutilizedasthe
staple operator in Concerting of the arthimetic operations
such as substraction, multiplication and division.
Approximate adders have been collected many regard by
the designers.In the state-of-the-art approximate adders,
where most of them are based on the carry propagate
designs, the energy and speed gains havebeenattainedby
hardware manipulation, logic simplification, and voltage
over scaling. While some of the adders were based on a
configurable output accuracy others had a fixed accuracy
level. Theaccuracyconfigurabilityenforcedfewoverheads
in terms of delay, area and power which could maximized
their usage in some applications where such re-
configurability is not required.In this paper, we propose a
high performance yet low delay block-based carry
speculative approximate adder structure which is called
BCSA adder. In this design, the adder is partitioned into
some non-overlapped parallel blocks, which intheworst-
case, the carryoutput of a block is dependent on the carry
output of the preciding block. To deduce the critical path
more, we suggest an technique to foretell the carry
output of a block based on its signals as well as of the
succeeding block.The provision has a low hardware
complication dominant a high delay (on average, about
one block) and a rather high quality. To reach a lower
error rate, an error detection and recovery mechanism is
introduced, which propose the highoutputerrorrate.The
effectiveness of this adder is compared with some of the
state-of-the-artapproximateadders.Finally,theefficiency
of the adder studied using two image processing
applications. The rest of the paper is unionized as follows.
II. RELATED WORKS
In this section, some of the earlier works in the area of the
approximate adders are shortly reviewed.
A High Accuracy Block-based Approximate adder (HABA)
was suggested in [9]. This adder proposed an error
correctionunit,whichoperatedbasedonusingthegenerate
signal to decrease the accuracy loss. Although the power
consumption and error rate were low, the vital path delay
was even high due to its ripple carry propagation
pattern.Reconfigurable approximate carry look-ahead
adder (RAP- CLA), which is an approximate adder
produced based on the exact carry look ahead adder, was
anticipated[6].This adder was able to swap between the
exactandapproximateoperatingstylesduringtheruntime.
In RAP-CLA, fixed-sizeintersection sub-blocks (windows)
were used to calculate the carry output and sum bits.
In,[13]an accuracy surely degrading adder (GDA) was
proposed which used [𝑛/𝑙] l-bits blocks to calculate the
output. GDA utilized multiplexers between sub-blocks to
select between the correct and approximate input carries
The proposed error correction unit is a sequential circuit,
which depending on the number of sub-adders, takes
various cycles for correcting the outputs. In, [13] an error
liberal adder (ETA-I),wheretheaddoperationwasdivided
into two independent parts such that the most important
sum bits were calculated by exact FAs while the least
compellingsumbitsweregenerated bytheXORgates,was
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 07 Issue: 04 | Apr 2020 www.irjet.net p-ISSN: 2395-0072
© 2020, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2178
suggested. For the least significant part, modified XOR
gates were used. Although this adder reduced the power
consumption, the error rate/distance was large.
III. INTERNAL DESIGN OF THE PROPOSED
ADDER
The general design of an n-bit speculative approximate
adder improved by a carry predictor unit is pictorialed in
Fig1.Theaddoperationisexecutedby[n/l]l-bitsummation
blocks working in parallel where l is bit length of each
summation blocks. Each summation block admit an l-bit
subadder, a carry predict unit , and a select unit .In this
arrangement, the carry input of the ith subadder, is chosen
by(i-1)th select unit from the carry generator. In this
erection, the carry input of the ith sub-adder, is chosen by
(i-1)th Select unit from the carry signal generated by the (i-
1)st Carry Predictor unit and the one generated by the (i-
1)th sub-adder.Choosing the carry output of the Carry
Predictor unit leads to a shorter critical path and lower
energy consumption. In this case, the output between the
blocks are cut at the cost of some accuracy loss. Thus, the
accuracy of the add firm depends on the accuracy of the
Carry Predictor unit, and also, the scheme of the carry
output signal option. In our expected arrangement, the
worst-case is the length of a carry chain which is equal to
two blocks (i.e.,2 ).In most of the state-of-the-art
approximate adders, the carry input of each block is
selected only by the basics of the input signalsof preciding
blocks (see, e.g., [10][11]). In this task, however, we
introduced a speculative approximateadderthatthecarry
input.
Fig 1: The general design of an approximate adder
employed with carry prediction unit.
of its ith block is determined based on someinputsignalsof
the stream block and those of the succeeding one. As we
will show, this approach results in a reasonable accuracy
advance equivalenced to the other approximate adders. In
BCSA(see Fig. 1), the carry output of the ith summation
block (COi ) is obtained from
COi = seli.Ci
ADD +seli.Ci
prdt (7)
Where the seli (Ci
prdt) is the output signal of the
select (carry predictor)unit. Also , Ci
add is the carry output
of the sub-adder of the ith summation block analyzing that
the carry input of the block is zero. Thus, inthe worstcase,
the carry is propogated through two blocks(generated in
the first bit place of the ith block and propogated in the (ith
and (i+1)thblocks).Now, in this task, we propose to
determine the seli,Ci
prdt, and Ci
exact using
Seli = Ki+1
o + Gl-1
i (8)
Ci
prdt = Gi
l-1 (9)
Cadd = Pi
l-1Gl-2
i+Pl-1
iPi
l-2Gl-3
i+...+ k=1
.Pi
k.G0
i (10)
Where the K0
i+1 is the kill signal of th first bit position of
the (i+1)th block (i.e. al+1
0 . b0
l+1),Gl-1 is the generatesignal
of the last bit position of the ith block (i.e. al-1
i . bi
l-1), and
Pi
l-1 is the generate signal of the last bit position of the ith
block (i.e.. al-1
i ^ bi
l-1). Based on the design of the excepted
model is depicted in Fig. 2 where the carry propagate and
parallel prefix adder designs(e.g. CLA, and RCA) could be
covered for the sub-adders.Based on the carry output of
the ith block is determined under four cases where, for
each of them, either or is choosen. In the proposed
approach, we focus on reducingthe errorasmuchaslikely
by selecting a precise carry input on the later block.
Therefore, in each of these events, the carry output is
selected with the highest possible accuracy. Thus, in the
following paragraphs,wediscusstheidea behindthecarry
output selection for the four cases of
 In the first case (K0
i+1 = 0 and Gl-1
i = 0), since Gl-
1
i = 0, to reduce the probability of the error
propogation in the proposed adder, in this case , the
select unit circuit, chooses the Ci
add whose error
probability is smaller than Ci
prdt.
Fig.2: The structure of the proposed adder with Error
Recovery Unit (ERU).
 In the second case( K0
i+1 = 0andGl-1
i =1),becauseGl-
1
iis1,the speculative carry(Ci
prdt) is correct.
Therefore, for this case, the Ci
prdt is selected as the
Cin
i+1.
 In the third case ( Ki+1
0 = 1 and Gl-1
i = 0), because
Gl-1
i is 1, independent from accuracy of the carry
input of the (i+1)th block, forshorteningthecritical
path, we suggest to select Ci
prdt as the carry output
of the ith block.
 In the fourth case (K0
i+1 = 1 and Gl-1
i = 1),similar
to the second case, since Gi
l-1 is 1, the predicted
output of the block. Therefore, in the proposed
approach, Cprdt
i is selected as the Ci
in.
Between these cases, only in the first case, the
carry is propagated in two blocks. Therefore, on average,
the length of the carry propagation is close to one block.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 07 Issue: 04 | Apr 2020 www.irjet.net p-ISSN: 2395-0072
© 2020, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2179
In the third case, although the carry input of the block is
killed and is not propagated, the carry input is employed
to determine the first summation bit of the block.
Therefore, if the carry input in this case is wrong, it
impacts on the output accuracy of the summation.Hence,
for improving the accuracy of the proposed adder, we
suggest an error recovery unit which generates the first
summation bit of the ith block (S0
i) by
S0
i+1 = (Ki+1
0 .Cadd
i) + (P0
i+1 ^ Ci+1
in) (11)
Note that P0
i+1 ^ Ci+1
in (Cin
i+1 = Ci
prdt) is the
approximate summation output in the first bit of the
(i+1)th block denoted as AS0
i+1 in Fig. 2. Since the ERU is
not on the critical path of adder, using the Error Recovery
Unit (ERU) track to developing the accuracy with
increasing the delay of the proposed adder structure. Fig.
3 shows the functionality of the proposed speculative
approximate adder with and without the ERU. The error
has been reduced by the error reduction unit. The ERU
imposes only about 3% and 2% delay and area.
IV. RESULTS AND DISCUSSION
A. Error Metrics Evaluation
As early discourse, the Select and Carry Predictor
units determine the accuracy of the approximate adders.
In this section, the accuracy of the proposed adder is
evaluated compared to the four latest state-of-the-art
approximate adders. These adders include RAP-CLA,
HABA and the BCSA. HABA equipped with the ERU unit
proposed in (HABAERU). Note that the ERU circuit in
HABAERU is different from the one we suggested for
BSCAERU. For this study, three error metrics have been
considered including Error Rate (ER), Normalized Mean
Error Distance (NMED), and Mean RelativeErrorDistance
(MRED). The NMED and MRED for an n-bit adder are
obtained by
Fig 3: An example of functionality of the speculative
approximate adder, (a) without ERU(BCSA W/O
ERU), and (b) with ERU
where |N| shows the number of the input data
samples, and Si (Si′) is the true output of the add
operation. The ER, NMED, and MRED of the considered
adders under varius block sizes and inputoperand widths
are recorded in TABLE I. While only the error prosody for
the cases of block sizes of 2, 4 and 8 are reported in this
table, we have performed this study for the block sizes
from8 and 16-bit.
These metrics have been extracted by applying
65,536 (10 million) reliable odd numbers in the case of 8-
bit adders. As the results show, in the case of 8-bit adder,
the ER, NMED, and MRED of the BCSAERU are 0 meaning
that BCSAERU is perfect. Among the deliberate adders,
BCSAERU and HABA have the lowest ER compared to the
other ones. On average, the ER of the BCSA is about 80%
larger than HABA . On the other hand, the NMED and
MRED of the BCSAERU (BCSA) are, on average,about87%
(52%) and 86% (40%) smaller than those of the other
studies adders. In improver, for all the adders, by
increasing the block size, the accuracy increases.
B. Design Parameters Evaluation
The hardwareofBCSA,BCSAERU,HABAandRAP-
CLA were described by Verilog HDL and synthesized by
Synopsys Design Compiler. All thestudiesinthistask have
been performed using the typical process of the 15nm Fin
FET Nan Gate technology with the operating voltage level
of 0.8V and at the temperature of 25°C. The design
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 07 Issue: 04 | Apr 2020 www.irjet.net p-ISSN: 2395-0072
© 2020, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2180
parameters of each adder under different block sizeshave
been reported in these games where the related block
sizes are provided inside the circle. For extracting the
power consumption (to obtain the energy consumption),
up to 10M random stimuli were injectedtotheinputofthe
netlist of the synthesized adders and the activity of the
internal nodes of them were logged in the VCD format.
TABLE 1: comparison of accuracy results for 8-bits and
16-bits.
V. CONCLUSIONS
In this paper, we proposed a block-based carry
speculative approximate adder (BCSA), which was based
on dividing an exact adder into some non-overlapped
blocks operated in parallel. Each block may be composed
of any desired type of adders. In this adder, the length of
carry chain was reduced to was utilized to estimate the
carry. A select logic was recommended to guess the carry
input of apiece block founded on some input operand bits
of the current and next block. In addition, o reduce the
error and delay with the declination of the accuracy loss,
an error detection and recovery mechanism was
suggested. Based on the results, for the different
approximate performing styles, BCSAERU display on
approximate adder.
REFERENCES
1. H. Jiang, C. Liu, L. Liu, F. Lombardi and J. Han, “A
review, classification and comparative evaluation of
approximate arithmetic circuits,” ACM JETCAS, vol.
13, no. 4, Article no. 60, 2017.
2. H. Esmaeilzadeh, A. Sampson, L. Ceze, and D.Burger.
"Neural Acceleration for General-Purpose
Approximate Programs," In Proc. of Micro, pp.105-
115, 2012.
3. B. K. Mohanty, S. K. Patel, "Area–delay–power
efficient carry select adder", IEEE TCAS-II, vol. 61,
no. 6, pp. 418-422, Jun. 2014.
4. M. Bilal, S. Masud, and S. Athar, “FPGA Design for
Statistics-Inspired Approximate Sum-of-Squared-
Error Computation in Multimedia Applications,”
IEEE TCAS-II, vol. 59, no. 8, pp. 506-510, 2012.
5. M. Kamal, A. Ghasemazar, A. Afzali-Kusha, andM.
Pedram, “Improving efficiency ofextensible
processors by usingapproximate custom
instructions,” in Proc. DATE, 2014.
6. O. Akbari, M. Kamal, A. Afzali-Kusha, and M.Pedram,
“RAP-CLA: A reconfigurable approximatecarrylook-
ahead adder,” IEEE TCAS-II, vol. 65, no. 8, pp. 1089–
1093, 2018.
7. Y. Kim, Y. Zhang, and P. Li. “An energy efficient
approximate adder with carry skip for error
resilient neuromorphic VLSI systems,” In Proc.
ICCAD, 2013, pp. 130–137.
8. B. Kahng, S. Kang, "Accuracy-configurable adder for
approximatearithmeticdesigns,"InProc.DAC,2012,
pp.820-825.
9. J. Hu and W. Qian, "A new approximate adder with
low relative error and correct sign calculation," In
Proc. IEEE DATE, pp. 1449-1454, 2015.
10. W. Xu, S. S. Sapatnekar, and J. Hu. "A Simple Yet
Efficient Accuracy Configurable Adder Design," In
Proc. ISLPED, 2017.
11. R. Ye, T. Wang, F. Yuan, R. Kumar and Q. Xu, “On
Reconfiguration-Oriented Approximate Adder
Design and Its Application,”InProc. ICCAD,2013,pp.
48-54.
12. M. Shafique, W. Ahmad, R. Hafiz and J. Henkel,"Alow
latency generic accuracy configurable adder," In
Proc. DAC, 2015, pp. 1-6.
13. N. Zhu, W., et. al., “Design of low-power high-speed
truncation-error-tolerant adder and its application
in digital signal processing,” IEEE TVLSI, vol. 18, no.
8, pp. 1225–1229, 2011

More Related Content

What's hot

Design and Verification of Area Efficient Carry Select Adder
Design and Verification of Area Efficient Carry Select AdderDesign and Verification of Area Efficient Carry Select Adder
Design and Verification of Area Efficient Carry Select Adderijsrd.com
 
DESIGN AND PERFORMANCE ANALYSIS OF BINARY ADDERS_edited
DESIGN AND PERFORMANCE ANALYSIS OF BINARY ADDERS_editedDESIGN AND PERFORMANCE ANALYSIS OF BINARY ADDERS_edited
DESIGN AND PERFORMANCE ANALYSIS OF BINARY ADDERS_editedShital Badaik
 
Design and Implementation of Low-Power and Area-Efficient 64 bit CSLA using VHDL
Design and Implementation of Low-Power and Area-Efficient 64 bit CSLA using VHDLDesign and Implementation of Low-Power and Area-Efficient 64 bit CSLA using VHDL
Design and Implementation of Low-Power and Area-Efficient 64 bit CSLA using VHDLIJSRD
 
FPGA Implementation of High Speed Architecture of CSLA using D-Latches
FPGA Implementation of High Speed Architecture of CSLA using D-LatchesFPGA Implementation of High Speed Architecture of CSLA using D-Latches
FPGA Implementation of High Speed Architecture of CSLA using D-LatchesEditor IJMTER
 
High performance parallel prefix adders with fast carry chain logic
High performance parallel prefix adders with fast carry chain logicHigh performance parallel prefix adders with fast carry chain logic
High performance parallel prefix adders with fast carry chain logiciaemedu
 
Design of 32 bit Parallel Prefix Adders
Design of 32 bit Parallel Prefix Adders Design of 32 bit Parallel Prefix Adders
Design of 32 bit Parallel Prefix Adders IOSR Journals
 
Area Time Efficient Scaling Free Rotation Mode Cordic Using Circular Trajectory
Area Time Efficient Scaling Free Rotation Mode Cordic Using Circular TrajectoryArea Time Efficient Scaling Free Rotation Mode Cordic Using Circular Trajectory
Area Time Efficient Scaling Free Rotation Mode Cordic Using Circular TrajectoryIOSR Journals
 
Implementation of Carry Skip Adder using PTL
Implementation of Carry Skip Adder using PTLImplementation of Carry Skip Adder using PTL
Implementation of Carry Skip Adder using PTLIRJET Journal
 
Implementation of Low Power and Area Efficient Carry Select Adder
Implementation of Low Power and Area Efficient Carry Select AdderImplementation of Low Power and Area Efficient Carry Select Adder
Implementation of Low Power and Area Efficient Carry Select Adderinventionjournals
 
Low power & area efficient carry select adder
Low power & area efficient carry select adderLow power & area efficient carry select adder
Low power & area efficient carry select adderSai Vara Prasad P
 
Design of Low-Power High-Speed Truncation-Error-Tolerant Adder
Design of Low-Power High-Speed  Truncation-Error-Tolerant Adder Design of Low-Power High-Speed  Truncation-Error-Tolerant Adder
Design of Low-Power High-Speed Truncation-Error-Tolerant Adder Sravankumar Samboju
 
IRJET- An Efficient Wallace Tree Multiplier using Modified Adder
IRJET- An Efficient Wallace Tree Multiplier using Modified AdderIRJET- An Efficient Wallace Tree Multiplier using Modified Adder
IRJET- An Efficient Wallace Tree Multiplier using Modified AdderIRJET Journal
 
HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...
HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...
HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...VLSICS Design
 
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGAEFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGAVLSICS Design
 
Optimized Carry Speculative Adder
Optimized Carry Speculative AdderOptimized Carry Speculative Adder
Optimized Carry Speculative Adderijtsrd
 
32-bit unsigned multiplier by using CSLA & CLAA
32-bit unsigned multiplier by using CSLA &  CLAA32-bit unsigned multiplier by using CSLA &  CLAA
32-bit unsigned multiplier by using CSLA & CLAAGanesh Sambasivarao
 
Bit Serial multiplier using Verilog
Bit Serial multiplier using VerilogBit Serial multiplier using Verilog
Bit Serial multiplier using VerilogBhargavKatkam
 
IRJET - Realization of Power Optimised Carry Skip Adder using AOI Logic
IRJET -  	  Realization of Power Optimised Carry Skip Adder using AOI LogicIRJET -  	  Realization of Power Optimised Carry Skip Adder using AOI Logic
IRJET - Realization of Power Optimised Carry Skip Adder using AOI LogicIRJET Journal
 

What's hot (19)

Design and Verification of Area Efficient Carry Select Adder
Design and Verification of Area Efficient Carry Select AdderDesign and Verification of Area Efficient Carry Select Adder
Design and Verification of Area Efficient Carry Select Adder
 
DESIGN AND PERFORMANCE ANALYSIS OF BINARY ADDERS_edited
DESIGN AND PERFORMANCE ANALYSIS OF BINARY ADDERS_editedDESIGN AND PERFORMANCE ANALYSIS OF BINARY ADDERS_edited
DESIGN AND PERFORMANCE ANALYSIS OF BINARY ADDERS_edited
 
Design and Implementation of Low-Power and Area-Efficient 64 bit CSLA using VHDL
Design and Implementation of Low-Power and Area-Efficient 64 bit CSLA using VHDLDesign and Implementation of Low-Power and Area-Efficient 64 bit CSLA using VHDL
Design and Implementation of Low-Power and Area-Efficient 64 bit CSLA using VHDL
 
FPGA Implementation of High Speed Architecture of CSLA using D-Latches
FPGA Implementation of High Speed Architecture of CSLA using D-LatchesFPGA Implementation of High Speed Architecture of CSLA using D-Latches
FPGA Implementation of High Speed Architecture of CSLA using D-Latches
 
High performance parallel prefix adders with fast carry chain logic
High performance parallel prefix adders with fast carry chain logicHigh performance parallel prefix adders with fast carry chain logic
High performance parallel prefix adders with fast carry chain logic
 
Design of 32 bit Parallel Prefix Adders
Design of 32 bit Parallel Prefix Adders Design of 32 bit Parallel Prefix Adders
Design of 32 bit Parallel Prefix Adders
 
Area Time Efficient Scaling Free Rotation Mode Cordic Using Circular Trajectory
Area Time Efficient Scaling Free Rotation Mode Cordic Using Circular TrajectoryArea Time Efficient Scaling Free Rotation Mode Cordic Using Circular Trajectory
Area Time Efficient Scaling Free Rotation Mode Cordic Using Circular Trajectory
 
Implementation of Carry Skip Adder using PTL
Implementation of Carry Skip Adder using PTLImplementation of Carry Skip Adder using PTL
Implementation of Carry Skip Adder using PTL
 
Implementation of Low Power and Area Efficient Carry Select Adder
Implementation of Low Power and Area Efficient Carry Select AdderImplementation of Low Power and Area Efficient Carry Select Adder
Implementation of Low Power and Area Efficient Carry Select Adder
 
Low power & area efficient carry select adder
Low power & area efficient carry select adderLow power & area efficient carry select adder
Low power & area efficient carry select adder
 
Design of Low-Power High-Speed Truncation-Error-Tolerant Adder
Design of Low-Power High-Speed  Truncation-Error-Tolerant Adder Design of Low-Power High-Speed  Truncation-Error-Tolerant Adder
Design of Low-Power High-Speed Truncation-Error-Tolerant Adder
 
IRJET- An Efficient Wallace Tree Multiplier using Modified Adder
IRJET- An Efficient Wallace Tree Multiplier using Modified AdderIRJET- An Efficient Wallace Tree Multiplier using Modified Adder
IRJET- An Efficient Wallace Tree Multiplier using Modified Adder
 
R04605106110
R04605106110R04605106110
R04605106110
 
HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...
HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...
HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...
 
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGAEFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
 
Optimized Carry Speculative Adder
Optimized Carry Speculative AdderOptimized Carry Speculative Adder
Optimized Carry Speculative Adder
 
32-bit unsigned multiplier by using CSLA & CLAA
32-bit unsigned multiplier by using CSLA &  CLAA32-bit unsigned multiplier by using CSLA &  CLAA
32-bit unsigned multiplier by using CSLA & CLAA
 
Bit Serial multiplier using Verilog
Bit Serial multiplier using VerilogBit Serial multiplier using Verilog
Bit Serial multiplier using Verilog
 
IRJET - Realization of Power Optimised Carry Skip Adder using AOI Logic
IRJET -  	  Realization of Power Optimised Carry Skip Adder using AOI LogicIRJET -  	  Realization of Power Optimised Carry Skip Adder using AOI Logic
IRJET - Realization of Power Optimised Carry Skip Adder using AOI Logic
 

Similar to IRJET - A Speculative Approximate Adder for Error Recovery Unit

IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET Journal
 
IRJET - High Speed Approximation Error Tolerance Adders for Image Processing ...
IRJET - High Speed Approximation Error Tolerance Adders for Image Processing ...IRJET - High Speed Approximation Error Tolerance Adders for Image Processing ...
IRJET - High Speed Approximation Error Tolerance Adders for Image Processing ...IRJET Journal
 
A Comparative Analysis on Parameters of Different Adder Topologies
A Comparative Analysis on Parameters of Different Adder TopologiesA Comparative Analysis on Parameters of Different Adder Topologies
A Comparative Analysis on Parameters of Different Adder TopologiesIRJET Journal
 
128-Bit Area Efficient Reconfigurable Carry Select Adder
128-Bit Area Efficient Reconfigurable Carry Select Adder 128-Bit Area Efficient Reconfigurable Carry Select Adder
128-Bit Area Efficient Reconfigurable Carry Select Adder ijcisjournal
 
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...IRJET Journal
 
ApproxBioWear: Approximating Additions for Efficient Biomedical Wearable Comp...
ApproxBioWear: Approximating Additions for Efficient Biomedical Wearable Comp...ApproxBioWear: Approximating Additions for Efficient Biomedical Wearable Comp...
ApproxBioWear: Approximating Additions for Efficient Biomedical Wearable Comp...Subhajit Sahu
 
MODIFIED CARRY SELECT ADDER WITH BKA AND MGDI TECHNIQUE
MODIFIED CARRY SELECT ADDER WITH BKA AND MGDI TECHNIQUEMODIFIED CARRY SELECT ADDER WITH BKA AND MGDI TECHNIQUE
MODIFIED CARRY SELECT ADDER WITH BKA AND MGDI TECHNIQUEIRJET Journal
 
Analysis of different bit carry look ahead adder using verilog code 2
Analysis of different bit carry look ahead adder using verilog code 2Analysis of different bit carry look ahead adder using verilog code 2
Analysis of different bit carry look ahead adder using verilog code 2IAEME Publication
 
Design and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adderDesign and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adderIRJET Journal
 
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGAEFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGAVLSICS Design
 
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGAEFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGAVLSICS Design
 
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...VLSICS Design
 
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...VLSICS Design
 
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...VLSICS Design
 
Area, Delay and Power Comparison of Adder Topologies
Area, Delay and Power Comparison of Adder TopologiesArea, Delay and Power Comparison of Adder Topologies
Area, Delay and Power Comparison of Adder TopologiesVLSICS Design
 
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...IRJET Journal
 
Enhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select adderEnhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select addereSAT Publishing House
 
IRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full AddersIRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full AddersIRJET Journal
 
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...IRJET Journal
 

Similar to IRJET - A Speculative Approximate Adder for Error Recovery Unit (20)

IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
 
IRJET - High Speed Approximation Error Tolerance Adders for Image Processing ...
IRJET - High Speed Approximation Error Tolerance Adders for Image Processing ...IRJET - High Speed Approximation Error Tolerance Adders for Image Processing ...
IRJET - High Speed Approximation Error Tolerance Adders for Image Processing ...
 
A Comparative Analysis on Parameters of Different Adder Topologies
A Comparative Analysis on Parameters of Different Adder TopologiesA Comparative Analysis on Parameters of Different Adder Topologies
A Comparative Analysis on Parameters of Different Adder Topologies
 
128-Bit Area Efficient Reconfigurable Carry Select Adder
128-Bit Area Efficient Reconfigurable Carry Select Adder 128-Bit Area Efficient Reconfigurable Carry Select Adder
128-Bit Area Efficient Reconfigurable Carry Select Adder
 
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
 
ApproxBioWear: Approximating Additions for Efficient Biomedical Wearable Comp...
ApproxBioWear: Approximating Additions for Efficient Biomedical Wearable Comp...ApproxBioWear: Approximating Additions for Efficient Biomedical Wearable Comp...
ApproxBioWear: Approximating Additions for Efficient Biomedical Wearable Comp...
 
MODIFIED CARRY SELECT ADDER WITH BKA AND MGDI TECHNIQUE
MODIFIED CARRY SELECT ADDER WITH BKA AND MGDI TECHNIQUEMODIFIED CARRY SELECT ADDER WITH BKA AND MGDI TECHNIQUE
MODIFIED CARRY SELECT ADDER WITH BKA AND MGDI TECHNIQUE
 
Analysis of different bit carry look ahead adder using verilog code 2
Analysis of different bit carry look ahead adder using verilog code 2Analysis of different bit carry look ahead adder using verilog code 2
Analysis of different bit carry look ahead adder using verilog code 2
 
Design and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adderDesign and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adder
 
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGAEFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
 
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGAEFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
 
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
 
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
 
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
 
Area, Delay and Power Comparison of Adder Topologies
Area, Delay and Power Comparison of Adder TopologiesArea, Delay and Power Comparison of Adder Topologies
Area, Delay and Power Comparison of Adder Topologies
 
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
 
Enhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select adderEnhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select adder
 
IRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full AddersIRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full Adders
 
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
 
Fa35880883
Fa35880883Fa35880883
Fa35880883
 

More from IRJET Journal

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...IRJET Journal
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTUREIRJET Journal
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...IRJET Journal
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsIRJET Journal
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...IRJET Journal
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...IRJET Journal
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...IRJET Journal
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...IRJET Journal
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASIRJET Journal
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...IRJET Journal
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProIRJET Journal
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...IRJET Journal
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemIRJET Journal
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesIRJET Journal
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web applicationIRJET Journal
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...IRJET Journal
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.IRJET Journal
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...IRJET Journal
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignIRJET Journal
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...IRJET Journal
 

More from IRJET Journal (20)

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
 

Recently uploaded

Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
Russian Call Girls in Nagpur Grishma Call 7001035870 Meet With Nagpur Escorts
Russian Call Girls in Nagpur Grishma Call 7001035870 Meet With Nagpur EscortsRussian Call Girls in Nagpur Grishma Call 7001035870 Meet With Nagpur Escorts
Russian Call Girls in Nagpur Grishma Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...Christo Ananth
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performancesivaprakash250
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...Call Girls in Nagpur High Profile
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxupamatechverse
 
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...ranjana rawat
 
UNIT-III FMM. DIMENSIONAL ANALYSIS
UNIT-III FMM.        DIMENSIONAL ANALYSISUNIT-III FMM.        DIMENSIONAL ANALYSIS
UNIT-III FMM. DIMENSIONAL ANALYSISrknatarajan
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)simmis5
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordAsst.prof M.Gokilavani
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 
Glass Ceramics: Processing and Properties
Glass Ceramics: Processing and PropertiesGlass Ceramics: Processing and Properties
Glass Ceramics: Processing and PropertiesPrabhanshu Chaturvedi
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlysanyuktamishra911
 

Recently uploaded (20)

Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
 
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
 
Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024
 
Russian Call Girls in Nagpur Grishma Call 7001035870 Meet With Nagpur Escorts
Russian Call Girls in Nagpur Grishma Call 7001035870 Meet With Nagpur EscortsRussian Call Girls in Nagpur Grishma Call 7001035870 Meet With Nagpur Escorts
Russian Call Girls in Nagpur Grishma Call 7001035870 Meet With Nagpur Escorts
 
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performance
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptx
 
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
 
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
 
UNIT-III FMM. DIMENSIONAL ANALYSIS
UNIT-III FMM.        DIMENSIONAL ANALYSISUNIT-III FMM.        DIMENSIONAL ANALYSIS
UNIT-III FMM. DIMENSIONAL ANALYSIS
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
Glass Ceramics: Processing and Properties
Glass Ceramics: Processing and PropertiesGlass Ceramics: Processing and Properties
Glass Ceramics: Processing and Properties
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghly
 

IRJET - A Speculative Approximate Adder for Error Recovery Unit

  • 1. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 07 Issue: 04 | Apr 2020 www.irjet.net p-ISSN: 2395-0072 © 2020, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2177 A SPECULATIVE APPROXIMATE ADDER FOR ERROR RECOVERY UNIT S.Sireesha1, Dr.T. Lalith Kumar2, T.Vijaya Nirmala3 1PG student, Dept. of ECE, Annamacharya Institute of Technology and Sciences, Kadapa, Andhra Pradesh, India 2professor, Dept. of ECE, Annamacharya Institute of Technology and Sciences, Kadapa, Andhra Pradesh, India 3Asst.professor, Dept. of ECE, Annamacharya Institute of Technology and Sciences, Kadapa, Andhra Pradesh, India ---------------------------------------------------------------------***-------------------------------------------------------------------- Abstract - In this paper, a low delay consumption block- based carry speculative approximate adder is expected. Its design is based on partitioning the adder into some non- overlapped summation blocks whose designs may be selected from both the carry propagate and parallel-prefix adders. Here, the carry output of each block is speculated based on the input operands of the block itself and those of the next block. In this adder, the length of the carry chain is reduced to two blocks (worst case), whereinmostcasesonly one block is employed to calculate the carry output leading to a lower average delay. In addition, to reduce the output error rate an lower delay is also achieved through error detection and recovery mechanism. Key Words: Approximate computing, Low delay, Speculative Adder. I. INTRODUCTION In stream digital networks, one of the code restraint is the thermal style power (TSP) couldmaximize the concert of digitalnetworks.Oneoftheapproacheswill aidtogain the most out of this restraint in the usage of the accurate computing approaches. It may be usaged for application arena such as multimedia and image processing, digital signal processing, wireless communication,machinelearning,anddata mining which are naturally error-resilient. The approach maybeusedto realizemoreenergyreductionand/orconcertatthecharge of some accuracy loss. In current years, different accurate computing approaches at various applications for software/hardwarelevelshavebeenschedule.Illustration includes thread fusion and tunable kerenals, accurate accelerator, impresice logic/arthimetic unit and approximate instruction setarchitecture(ISA).Inthistask, we deal with approximate adders whichareutilizedasthe staple operator in Concerting of the arthimetic operations such as substraction, multiplication and division. Approximate adders have been collected many regard by the designers.In the state-of-the-art approximate adders, where most of them are based on the carry propagate designs, the energy and speed gains havebeenattainedby hardware manipulation, logic simplification, and voltage over scaling. While some of the adders were based on a configurable output accuracy others had a fixed accuracy level. Theaccuracyconfigurabilityenforcedfewoverheads in terms of delay, area and power which could maximized their usage in some applications where such re- configurability is not required.In this paper, we propose a high performance yet low delay block-based carry speculative approximate adder structure which is called BCSA adder. In this design, the adder is partitioned into some non-overlapped parallel blocks, which intheworst- case, the carryoutput of a block is dependent on the carry output of the preciding block. To deduce the critical path more, we suggest an technique to foretell the carry output of a block based on its signals as well as of the succeeding block.The provision has a low hardware complication dominant a high delay (on average, about one block) and a rather high quality. To reach a lower error rate, an error detection and recovery mechanism is introduced, which propose the highoutputerrorrate.The effectiveness of this adder is compared with some of the state-of-the-artapproximateadders.Finally,theefficiency of the adder studied using two image processing applications. The rest of the paper is unionized as follows. II. RELATED WORKS In this section, some of the earlier works in the area of the approximate adders are shortly reviewed. A High Accuracy Block-based Approximate adder (HABA) was suggested in [9]. This adder proposed an error correctionunit,whichoperatedbasedonusingthegenerate signal to decrease the accuracy loss. Although the power consumption and error rate were low, the vital path delay was even high due to its ripple carry propagation pattern.Reconfigurable approximate carry look-ahead adder (RAP- CLA), which is an approximate adder produced based on the exact carry look ahead adder, was anticipated[6].This adder was able to swap between the exactandapproximateoperatingstylesduringtheruntime. In RAP-CLA, fixed-sizeintersection sub-blocks (windows) were used to calculate the carry output and sum bits. In,[13]an accuracy surely degrading adder (GDA) was proposed which used [𝑛/𝑙] l-bits blocks to calculate the output. GDA utilized multiplexers between sub-blocks to select between the correct and approximate input carries The proposed error correction unit is a sequential circuit, which depending on the number of sub-adders, takes various cycles for correcting the outputs. In, [13] an error liberal adder (ETA-I),wheretheaddoperationwasdivided into two independent parts such that the most important sum bits were calculated by exact FAs while the least compellingsumbitsweregenerated bytheXORgates,was
  • 2. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 07 Issue: 04 | Apr 2020 www.irjet.net p-ISSN: 2395-0072 © 2020, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2178 suggested. For the least significant part, modified XOR gates were used. Although this adder reduced the power consumption, the error rate/distance was large. III. INTERNAL DESIGN OF THE PROPOSED ADDER The general design of an n-bit speculative approximate adder improved by a carry predictor unit is pictorialed in Fig1.Theaddoperationisexecutedby[n/l]l-bitsummation blocks working in parallel where l is bit length of each summation blocks. Each summation block admit an l-bit subadder, a carry predict unit , and a select unit .In this arrangement, the carry input of the ith subadder, is chosen by(i-1)th select unit from the carry generator. In this erection, the carry input of the ith sub-adder, is chosen by (i-1)th Select unit from the carry signal generated by the (i- 1)st Carry Predictor unit and the one generated by the (i- 1)th sub-adder.Choosing the carry output of the Carry Predictor unit leads to a shorter critical path and lower energy consumption. In this case, the output between the blocks are cut at the cost of some accuracy loss. Thus, the accuracy of the add firm depends on the accuracy of the Carry Predictor unit, and also, the scheme of the carry output signal option. In our expected arrangement, the worst-case is the length of a carry chain which is equal to two blocks (i.e.,2 ).In most of the state-of-the-art approximate adders, the carry input of each block is selected only by the basics of the input signalsof preciding blocks (see, e.g., [10][11]). In this task, however, we introduced a speculative approximateadderthatthecarry input. Fig 1: The general design of an approximate adder employed with carry prediction unit. of its ith block is determined based on someinputsignalsof the stream block and those of the succeeding one. As we will show, this approach results in a reasonable accuracy advance equivalenced to the other approximate adders. In BCSA(see Fig. 1), the carry output of the ith summation block (COi ) is obtained from COi = seli.Ci ADD +seli.Ci prdt (7) Where the seli (Ci prdt) is the output signal of the select (carry predictor)unit. Also , Ci add is the carry output of the sub-adder of the ith summation block analyzing that the carry input of the block is zero. Thus, inthe worstcase, the carry is propogated through two blocks(generated in the first bit place of the ith block and propogated in the (ith and (i+1)thblocks).Now, in this task, we propose to determine the seli,Ci prdt, and Ci exact using Seli = Ki+1 o + Gl-1 i (8) Ci prdt = Gi l-1 (9) Cadd = Pi l-1Gl-2 i+Pl-1 iPi l-2Gl-3 i+...+ k=1 .Pi k.G0 i (10) Where the K0 i+1 is the kill signal of th first bit position of the (i+1)th block (i.e. al+1 0 . b0 l+1),Gl-1 is the generatesignal of the last bit position of the ith block (i.e. al-1 i . bi l-1), and Pi l-1 is the generate signal of the last bit position of the ith block (i.e.. al-1 i ^ bi l-1). Based on the design of the excepted model is depicted in Fig. 2 where the carry propagate and parallel prefix adder designs(e.g. CLA, and RCA) could be covered for the sub-adders.Based on the carry output of the ith block is determined under four cases where, for each of them, either or is choosen. In the proposed approach, we focus on reducingthe errorasmuchaslikely by selecting a precise carry input on the later block. Therefore, in each of these events, the carry output is selected with the highest possible accuracy. Thus, in the following paragraphs,wediscusstheidea behindthecarry output selection for the four cases of  In the first case (K0 i+1 = 0 and Gl-1 i = 0), since Gl- 1 i = 0, to reduce the probability of the error propogation in the proposed adder, in this case , the select unit circuit, chooses the Ci add whose error probability is smaller than Ci prdt. Fig.2: The structure of the proposed adder with Error Recovery Unit (ERU).  In the second case( K0 i+1 = 0andGl-1 i =1),becauseGl- 1 iis1,the speculative carry(Ci prdt) is correct. Therefore, for this case, the Ci prdt is selected as the Cin i+1.  In the third case ( Ki+1 0 = 1 and Gl-1 i = 0), because Gl-1 i is 1, independent from accuracy of the carry input of the (i+1)th block, forshorteningthecritical path, we suggest to select Ci prdt as the carry output of the ith block.  In the fourth case (K0 i+1 = 1 and Gl-1 i = 1),similar to the second case, since Gi l-1 is 1, the predicted output of the block. Therefore, in the proposed approach, Cprdt i is selected as the Ci in. Between these cases, only in the first case, the carry is propagated in two blocks. Therefore, on average, the length of the carry propagation is close to one block.
  • 3. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 07 Issue: 04 | Apr 2020 www.irjet.net p-ISSN: 2395-0072 © 2020, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2179 In the third case, although the carry input of the block is killed and is not propagated, the carry input is employed to determine the first summation bit of the block. Therefore, if the carry input in this case is wrong, it impacts on the output accuracy of the summation.Hence, for improving the accuracy of the proposed adder, we suggest an error recovery unit which generates the first summation bit of the ith block (S0 i) by S0 i+1 = (Ki+1 0 .Cadd i) + (P0 i+1 ^ Ci+1 in) (11) Note that P0 i+1 ^ Ci+1 in (Cin i+1 = Ci prdt) is the approximate summation output in the first bit of the (i+1)th block denoted as AS0 i+1 in Fig. 2. Since the ERU is not on the critical path of adder, using the Error Recovery Unit (ERU) track to developing the accuracy with increasing the delay of the proposed adder structure. Fig. 3 shows the functionality of the proposed speculative approximate adder with and without the ERU. The error has been reduced by the error reduction unit. The ERU imposes only about 3% and 2% delay and area. IV. RESULTS AND DISCUSSION A. Error Metrics Evaluation As early discourse, the Select and Carry Predictor units determine the accuracy of the approximate adders. In this section, the accuracy of the proposed adder is evaluated compared to the four latest state-of-the-art approximate adders. These adders include RAP-CLA, HABA and the BCSA. HABA equipped with the ERU unit proposed in (HABAERU). Note that the ERU circuit in HABAERU is different from the one we suggested for BSCAERU. For this study, three error metrics have been considered including Error Rate (ER), Normalized Mean Error Distance (NMED), and Mean RelativeErrorDistance (MRED). The NMED and MRED for an n-bit adder are obtained by Fig 3: An example of functionality of the speculative approximate adder, (a) without ERU(BCSA W/O ERU), and (b) with ERU where |N| shows the number of the input data samples, and Si (Si′) is the true output of the add operation. The ER, NMED, and MRED of the considered adders under varius block sizes and inputoperand widths are recorded in TABLE I. While only the error prosody for the cases of block sizes of 2, 4 and 8 are reported in this table, we have performed this study for the block sizes from8 and 16-bit. These metrics have been extracted by applying 65,536 (10 million) reliable odd numbers in the case of 8- bit adders. As the results show, in the case of 8-bit adder, the ER, NMED, and MRED of the BCSAERU are 0 meaning that BCSAERU is perfect. Among the deliberate adders, BCSAERU and HABA have the lowest ER compared to the other ones. On average, the ER of the BCSA is about 80% larger than HABA . On the other hand, the NMED and MRED of the BCSAERU (BCSA) are, on average,about87% (52%) and 86% (40%) smaller than those of the other studies adders. In improver, for all the adders, by increasing the block size, the accuracy increases. B. Design Parameters Evaluation The hardwareofBCSA,BCSAERU,HABAandRAP- CLA were described by Verilog HDL and synthesized by Synopsys Design Compiler. All thestudiesinthistask have been performed using the typical process of the 15nm Fin FET Nan Gate technology with the operating voltage level of 0.8V and at the temperature of 25°C. The design
  • 4. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 07 Issue: 04 | Apr 2020 www.irjet.net p-ISSN: 2395-0072 © 2020, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2180 parameters of each adder under different block sizeshave been reported in these games where the related block sizes are provided inside the circle. For extracting the power consumption (to obtain the energy consumption), up to 10M random stimuli were injectedtotheinputofthe netlist of the synthesized adders and the activity of the internal nodes of them were logged in the VCD format. TABLE 1: comparison of accuracy results for 8-bits and 16-bits. V. CONCLUSIONS In this paper, we proposed a block-based carry speculative approximate adder (BCSA), which was based on dividing an exact adder into some non-overlapped blocks operated in parallel. Each block may be composed of any desired type of adders. In this adder, the length of carry chain was reduced to was utilized to estimate the carry. A select logic was recommended to guess the carry input of apiece block founded on some input operand bits of the current and next block. In addition, o reduce the error and delay with the declination of the accuracy loss, an error detection and recovery mechanism was suggested. Based on the results, for the different approximate performing styles, BCSAERU display on approximate adder. REFERENCES 1. H. Jiang, C. Liu, L. Liu, F. Lombardi and J. Han, “A review, classification and comparative evaluation of approximate arithmetic circuits,” ACM JETCAS, vol. 13, no. 4, Article no. 60, 2017. 2. H. Esmaeilzadeh, A. Sampson, L. Ceze, and D.Burger. "Neural Acceleration for General-Purpose Approximate Programs," In Proc. of Micro, pp.105- 115, 2012. 3. B. K. Mohanty, S. K. Patel, "Area–delay–power efficient carry select adder", IEEE TCAS-II, vol. 61, no. 6, pp. 418-422, Jun. 2014. 4. M. Bilal, S. Masud, and S. Athar, “FPGA Design for Statistics-Inspired Approximate Sum-of-Squared- Error Computation in Multimedia Applications,” IEEE TCAS-II, vol. 59, no. 8, pp. 506-510, 2012. 5. M. Kamal, A. Ghasemazar, A. Afzali-Kusha, andM. Pedram, “Improving efficiency ofextensible processors by usingapproximate custom instructions,” in Proc. DATE, 2014. 6. O. Akbari, M. Kamal, A. Afzali-Kusha, and M.Pedram, “RAP-CLA: A reconfigurable approximatecarrylook- ahead adder,” IEEE TCAS-II, vol. 65, no. 8, pp. 1089– 1093, 2018. 7. Y. Kim, Y. Zhang, and P. Li. “An energy efficient approximate adder with carry skip for error resilient neuromorphic VLSI systems,” In Proc. ICCAD, 2013, pp. 130–137. 8. B. Kahng, S. Kang, "Accuracy-configurable adder for approximatearithmeticdesigns,"InProc.DAC,2012, pp.820-825. 9. J. Hu and W. Qian, "A new approximate adder with low relative error and correct sign calculation," In Proc. IEEE DATE, pp. 1449-1454, 2015. 10. W. Xu, S. S. Sapatnekar, and J. Hu. "A Simple Yet Efficient Accuracy Configurable Adder Design," In Proc. ISLPED, 2017. 11. R. Ye, T. Wang, F. Yuan, R. Kumar and Q. Xu, “On Reconfiguration-Oriented Approximate Adder Design and Its Application,”InProc. ICCAD,2013,pp. 48-54. 12. M. Shafique, W. Ahmad, R. Hafiz and J. Henkel,"Alow latency generic accuracy configurable adder," In Proc. DAC, 2015, pp. 1-6. 13. N. Zhu, W., et. al., “Design of low-power high-speed truncation-error-tolerant adder and its application in digital signal processing,” IEEE TVLSI, vol. 18, no. 8, pp. 1225–1229, 2011