SlideShare a Scribd company logo
1 of 4
Download to read offline
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 07 Issue: 04 | Apr 2020 www.irjet.net p-ISSN: 2395-0072
© 2020, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2182
AUGMENTED TANGIBLE STYLE USING 8051 MCU
N.JYOTHSNA 1, Dr. T. LALITH KUMAR2
1P.G Student, Dept of ECE, Annamacharya Institute of technology and sciences, Kadapa, Andhra Pradesh, India
2 Professor, Dept. of ECE, Annamachrya Institute of Technology and Sciences, kadapa, Andhra Pradesh India
Author
---------------------------------------------------------------------***---------------------------------------------------------------------
Abstract -From the decades VLSI is performing a very vital
role in the electronics area, with the aid of EDA tools, we plays
inflation of the lap on 8051 microcontroller. For the most
partake primitive 8051 microcontroller is negotiated at a
clock frequency of 12 MHz, and the pattern is based on 3.5-μm
process technology. Hence, the ploy is slow and the volume is
giant. To reinforce the ploy performance and to lessen the die
volume, we have used 90-nm technology in our style. We
primarily played inflation when drafting the RTL codes with
the 90 nm accepted cell libraries. Once the gate level net-list is
generated, we progress the layout oftheploybygoingthrough
floor-planning, placement, and routing. We show that our
innovation style which is able operating at frequency at 150
MHz (i.e., 12.5 times sooner than the primitive style), with a
symbolic reduction in chip volume (i.e., the total area
is77249.814850μm2). The power consumption of the chip is
593.9899 μw, which is no less than 32% lower than that of
other8051 derivatives.
Key Words: synthesis; floor-planning; placement;
physical design, routing
1. INTRODUCTION
Despite being ,initiated by Intel more than three
decades ago in 1980, the MCS-51 or better known as
the 8051 microcontroller remains one of the most
familiar frequent view microcontrollers in use until
today. Numerous vendors have developed these
microcontrollers and are still releasing updates for
theirreinforcedbinarysympathetic of8051derivatives.
This describes the continue recognition of the ploy.
8051 MCU has giant volume of applications such has
huge volume of technical areas, including embedded
systems, robotics, and telecommunications. The ploy,
for example, only negotiates at 8-bit with a low clock
frequency of12MHz.Sincethetechnologyprocedureof
this ploy is 3.5 μm, it also has a big chip volumeandcan
therefore only be fashioned in a dual-in-line package
(DIP). The current applications of the primitive 8051
microcontroller are limited. It is mainly used as a
guiding material in undergraduate engineering
courses. To reinforce the performance of the primitive
8051 microcontroller, we complete inflation on VLSI
circuit device. Here, we present amendment on the
VLSI pattern of the 8051 microcontroller from its
Register Transfer Language (RTL) code to its Graphic
Database System II (GDSII) file. We precise by
synthesizing the RTL codes based on an updated
transistor technology and by re-pattern the layout of
the chip, the performance of the device (i.e., its clock
frequency) can be symbolic inflated and volume of the
chip is minimized. By managing the power
consumption when inflating the ploy, our innovation
style postulates considerably lower power in contrast
with its other derivatives
2. METHODOLOGY
Styling a complete chip from its organization
specifications to its final layout is a strained procedure.
At the front end, the style flow involves RTL style, style
verification, logic synthesis, and static timing analysis
(STA), whereas at the back end, it involves floor-
planning, power network synthesis (PNS), clock tree
synthesis (CTS), placement and routing, chip
completing, and tangible corroboration. Although the
flowmay appear routine, some of these proceduresare
actually iterative, and therefore, they may have to be
repeated multiple times. This is especially true when
abuse– such as timing, style rule checks (DRC), layout
versus schematic (LVS) violations are found during
verifications. For our VLSI style, we applied Electronic
pattern Automation (EDA) tools, i.e. pattern Compiler
forsynthesisandICCompilerfortangiblestylehere,we
indicate in detail the essential procedures applied in
our course reinforcement. The following are the steps
followed in the style improvement
2.1 Synthesis 2.2 Floor planning
2.3 Placement and routing 2.4 Tangible-
Corroborations
2.1 Synthesis
To reduce the style turn-around-time, by Intel 8051
equivalentRTLsourcecodefromOreganoorganization
will achieve. After compilingtheRTLcodeandthelevel
libraries and style checks, we synthesize the pattern
with the 90-nm technology libraries. In the drafting
process, we set the clock frequency to at 150 MHz and
the die area to be minimum.Oncethestylesynthesisall
the analysis and meets all the style constraints, then
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 07 Issue: 04 | Apr 2020 www.irjet.net p-ISSN: 2395-0072
© 2020, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2183
the gate stage net-list is been generated. The essential
net-list is achieved in the tangible stylelevelinorderto
expand the layout of the style.
2.2 Floor planning
In the tangible style, thelibrariesforfloorplanningare
first set up. Later the core area and routing area are
definite. The core utilization ratio is set to the proper
value in order to provide margins forrout-ability.Once
the floor plan is created, the basis cells are legally
placed without any enrichment which is known as
virtual flat placement. This is done in order to analyze
the impact of the floor plan on timing and routing
congestions as well as to map the power style. Routing
congestions usually occur when a huge amountofwire
nets are routed in a trivial area. The tool applies global
routing methodology to check for routing congestions.
In the global routing procedures, the tool divides the
chip into global-route cells (GRCs). Each GRC consists
of a restricted number of routing wire. The tool then
calculates the ratio of the measure of routednetstothe
number of accessible nets in each GRC. A ratio of more
than one indicatesthatroutingcongestionexistsinthat
specific cell which is in the form of grid. If the
congestions are found to be unacceptablemodification
are performed on the top-level pads or ports, core
aspect ratio and volume, as well as the power grid
style.
The end status in floor mapping is power network
synthesis (PNS). After the logical power and ground
connections are dissimilar, and then the power rails
along the standard cell placement rows are created.
The current and resistance or IR drop planisthenused
to study the power net work
2.3 Placement and Routing
The placement is ready when the pattern passes the
PNS test, at this status; the EDA tool performs area,
power, and timing inflations and also clock tree
synthesis (CTS) on thestyle.Timingandcongestionare
later analyzed to check for breach. If breach is found,
the path grouping approach is typically applied at the
affected areas. After those paths are grouped based on
the clocks,whichcontroltheirendpoints,inflationsand
CTS are negotiated again. Once placement is finished,
the tool negotiates global routing for the inaugural
routing. This is followed by specific routing and
inflation. DRC breach is commonly detected at this
stage. Some of this breachcouldbefixedbyrunningthe
Engineering Change Order (ECO) route. Very often, we
may have to revert back to floor planning again to
resolve the breach. Hence, thesetwoprocedures–floor
planning and placement and routing would have to be
executed in an iterative manner.
2.4 Tangible corroboration
LVS is negotiated at the final style stage to measure up
the physical layout with the in flattered gate level net-
list. This is to make sure that the logical descriptions of
the style aren’t altered during the tangible
implementation procedures. Few final verification,
such as CTS and final area analysis, are conducted
before stream out the GDSII file. The GDSII file is
essential for formulate the chip.
3. RESULTS AND DISCUSSION
Figure 1 view the inaugural floor plan created by the
EDA tool. The tiny boxes in pink are the basis cells,
while the green box in the left most of the figure
indicates the terminals meant for the input and output
(I/O) ports. The style consists of 5574 accepted cells.
The similar utilization area is around 0.8. This means
that 80% of the area is available for accepted cells,
macros, andimpasse placement.Theremaining20%of
the area is reserved for routing. The gap between the
terminals and the core area is about 10μm.
Fig -1: Floor plan of the proposed 8051 microcontroller
Figure 2 shows the virtual placement, which was
created to check for routing congestions and timing
breaches. As can be viewed from Figure 3, the virtual
placement report indicates that the total number of
cells violating the core area is 0.8. Thus breaches are
detected and all cells can be placed into the core
area,i.e.,80% of the total style area.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 07 Issue: 04 | Apr 2020 www.irjet.net p-ISSN: 2395-0072
© 2020, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2184
Fig -2: Virtual placement for the proposed 8051
microcontroller
Fig -3: Virtual placement report
Fig -4: Congestion plan with GRC
Fig -5: Congestion report
A portion of the congestion heat plan is shown in
Figure 4. The congestion heat plan is used to visualize
the cruelty of congestion in the global route cells
(GRCs). As mentioned in the previous section, the EDA
tool calculates the ratio of the measure for routed nets
to the number of available nets in each GRC. As can be
view from Figure 4, the ratios in the GRCs. The result
suggests that there is no congestion in that specified
portion. Figure 5 illustrates the conclusion of the
congestion report. From the report, we can view that
the total number of GRCs is 10100, and none of them
experience overflows. In other words, there is no
routing congestion in the style. From the report, we
observe that the total number of GRCs is 10100, and
none of them experience overflows. In other words,
there is no routing congestion in the style.
Here the clock frequency is less when compared to
before and after inflation. When compared to area it is
decreased by 30%. The top hierarchical eyeshot of the
final layout after routing and corroborations and a
close view of the center of the layout are shown in
Figures 6 and 7, respectively. The style is routed with
nine metal layers. The bottom stage comprise of the
accepted cells. The metal fillers and filler cells are
inserted into the style to resolve the density breaches.
Fig -6: Final Layout
The total power consumption is 593.9899μW. As
compared to the power consumptions of the 8051
derivatives reported, the inflatized 8051
microcontrollerwestyled.Weconsiderrequiresatleast
1.46timeslesspowertooperate.Inotherwords,power
consumption has been reduced by at least 32%.After
inflationproposeatleast1.46timespowertonegotiate.
In other words, power consumption has been reduced
by at least 32%. The feature volume of the transistors
are implemented inourstyleisalmost40timessmaller
than the proposed micro controller.
Table -1: Comparison Of Before and After Optimization of
the Design
Parameters
Before
Optimization
After
Optimization
Clock Frequency
12MHZ
150 MHZ
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 07 Issue: 04 | Apr 2020 www.irjet.net p-ISSN: 2395-0072
© 2020, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2185
Area
104984.54047
4 μm2(Based
on 90nm_max
77249.81485μ
m2
The symbolic reduction in the suitable channel length
authorize for an increase in the switching speed of the
logic components and less power required to turn on
thetransistors.Furthermore,theFigure7describesthe
Centre of the layout, same number of transistors could
be fashioned in a die with are smaller volume. By
inflating the microcontroller using the 90-nm
technology library, we show that our style can help
which save much energy, apart from operating at a
faster speed and having a smaller chip volume. It is
interesting to find that the power consumption in our
ploy using the 90-nm procedure technology is lower
than in the ploy using the 45 nm process technology.
We attribute this phenomenon to the careful planning
played during floor planning and placement and
routing. By carefully placing the cells and inflating the
routing process, power consumption of the chip can be
minimized.
Fig-7: Center of the layout
4. CONCLUSIONS
In this paper, we have concluded that the style of the
primitive 8051 microcontroller can be symbolically
reinforced. By synthesizing the RTL code using
libraries with the technology volume half of its
primitive and by carefully styling its VLSI layout with
the aid of Synopsys EDA tools,wewereabletoincrease
the clock frequency to 150 MHz and reduce the die
volume to 77249.814850 μm2. The power
consumption of our chip is 593.9899 μW, which is
primitive to be at least 32% lesser than that of the
8051 derivatives.
REFERENCES
[1] Ahmad, I., Ho, Y.K., Mali’s, B.Y., 2006. Fabrication
and Characterization of a 0.14 μm CMOS Device
using ATHENA and ATLAS Simulators.
Semiconductor Physics, Quantum Electronics &
Optoelectronics, Volume 9(2), pp. 40–44.
[2] Arsenal, S., 2013. Sun Tracking System with
Microcontroller 8051. International Journal of
Scientific and Engineering Research, Volume 4(6),
pp. 2998–3001 R. Nicole, “Title of paper with only
first word capitalized,” J. Name Stand. Abbrev. In
press.
[3] Chang, K.L., Chang, J.S., Gwen, B.H., Chong, K.S.,
2013. Synchronous-logic and Asynchronous-logic
8051 Microcontroller Cores for Realizing the
Internet of Things: A Comparative Study on
Dynamic Voltage Scaling and Variation Effects.
IEEE Journal on Emerging and Selected Topics in
Circuits and Systems, Volume 3(1), pp. 23–34
[4] Iozzi, F., Sayonara, S., Morella, A.J., Fanucci,L.2005.
8051 CPU Core Optimization for Low Power at
Register Transfer Level. 2005 PhD Research in
Microelectronics and Electronics, July, Volume 2,
pp. 178–181
[5] Asynchronous-logic8051MicrocontrollerCoresfor
Realizing the Internet of Things: A Comparative
Study on Dynamic Voltage Scaling and Variation
Effects. IEEE Journal on Emerging and Selected
Topics in Circuits and Systems, Volume 3(1), pp.
23–34

More Related Content

What's hot

Master Thesis, Tomas Elgeryd, IR-SB-EX-0224
Master Thesis, Tomas Elgeryd, IR-SB-EX-0224Master Thesis, Tomas Elgeryd, IR-SB-EX-0224
Master Thesis, Tomas Elgeryd, IR-SB-EX-0224
Tomas Elgeryd Ahnlund
 
System on Chip Based RTC in Power Electronics
System on Chip Based RTC in Power ElectronicsSystem on Chip Based RTC in Power Electronics
System on Chip Based RTC in Power Electronics
journalBEEI
 
Tresa_resume_01192016
Tresa_resume_01192016Tresa_resume_01192016
Tresa_resume_01192016
Tresa Tieu
 

What's hot (18)

Master Thesis, Tomas Elgeryd, IR-SB-EX-0224
Master Thesis, Tomas Elgeryd, IR-SB-EX-0224Master Thesis, Tomas Elgeryd, IR-SB-EX-0224
Master Thesis, Tomas Elgeryd, IR-SB-EX-0224
 
IRJET- An Efficient and Low Power Sram Testing using Clock Gating
IRJET-  	  An Efficient and Low Power Sram Testing using Clock GatingIRJET-  	  An Efficient and Low Power Sram Testing using Clock Gating
IRJET- An Efficient and Low Power Sram Testing using Clock Gating
 
4 article azojete vol 8 37 45
4 article azojete vol 8 37 454 article azojete vol 8 37 45
4 article azojete vol 8 37 45
 
Transfer of ut information from fpga through ethernet interface
Transfer of ut information from fpga through ethernet interfaceTransfer of ut information from fpga through ethernet interface
Transfer of ut information from fpga through ethernet interface
 
System on Chip Based RTC in Power Electronics
System on Chip Based RTC in Power ElectronicsSystem on Chip Based RTC in Power Electronics
System on Chip Based RTC in Power Electronics
 
Review on low power high speed 32 point cyclotomic parallel FFT Processor
Review on low power high speed 32 point cyclotomic parallel FFT ProcessorReview on low power high speed 32 point cyclotomic parallel FFT Processor
Review on low power high speed 32 point cyclotomic parallel FFT Processor
 
FPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT AlgorithmFPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT Algorithm
 
RESUME 1
RESUME 1RESUME 1
RESUME 1
 
Stable Ethernet TCP/IP Real Time Communication In Industrial Embedded Applica...
Stable Ethernet TCP/IP Real Time Communication In Industrial Embedded Applica...Stable Ethernet TCP/IP Real Time Communication In Industrial Embedded Applica...
Stable Ethernet TCP/IP Real Time Communication In Industrial Embedded Applica...
 
IRJET- Implementation of Radix-16 and Binary 64 Division VLSI Realization...
IRJET-  	  Implementation of Radix-16 and Binary 64 Division VLSI Realization...IRJET-  	  Implementation of Radix-16 and Binary 64 Division VLSI Realization...
IRJET- Implementation of Radix-16 and Binary 64 Division VLSI Realization...
 
LVTS Projects
LVTS ProjectsLVTS Projects
LVTS Projects
 
Tresa_resume_01192016
Tresa_resume_01192016Tresa_resume_01192016
Tresa_resume_01192016
 
Ramesh resume
Ramesh resumeRamesh resume
Ramesh resume
 
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
 
Design and implementation of DA FIR filter for bio-inspired computing archite...
Design and implementation of DA FIR filter for bio-inspired computing archite...Design and implementation of DA FIR filter for bio-inspired computing archite...
Design and implementation of DA FIR filter for bio-inspired computing archite...
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehta
 
Resume_new1_may
Resume_new1_mayResume_new1_may
Resume_new1_may
 
BULK IEEE PROJECTS IN VLSI ,BULK IEEE PROJECTS, IEEE 2015-16 VLSI PROJECTS I...
 BULK IEEE PROJECTS IN VLSI ,BULK IEEE PROJECTS, IEEE 2015-16 VLSI PROJECTS I... BULK IEEE PROJECTS IN VLSI ,BULK IEEE PROJECTS, IEEE 2015-16 VLSI PROJECTS I...
BULK IEEE PROJECTS IN VLSI ,BULK IEEE PROJECTS, IEEE 2015-16 VLSI PROJECTS I...
 

Similar to IRJET - Augmented Tangible Style using 8051 MCU

Similar to IRJET - Augmented Tangible Style using 8051 MCU (20)

Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
 
IRJET- UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGA
IRJET-  	  UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGAIRJET-  	  UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGA
IRJET- UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGA
 
unit 1vlsi notes.pdf
unit 1vlsi notes.pdfunit 1vlsi notes.pdf
unit 1vlsi notes.pdf
 
IRJET- Fault- Tolerant Fir Filter Implementation
IRJET-	 Fault- Tolerant Fir Filter ImplementationIRJET-	 Fault- Tolerant Fir Filter Implementation
IRJET- Fault- Tolerant Fir Filter Implementation
 
Electrical Rule Check Verification Methodology For SoC
Electrical Rule Check Verification Methodology For SoCElectrical Rule Check Verification Methodology For SoC
Electrical Rule Check Verification Methodology For SoC
 
C044061518
C044061518C044061518
C044061518
 
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
 
Clock Gating of Streaming Applications for Power Minimization on FPGA’s
 	  Clock Gating of Streaming Applications for Power Minimization on FPGA’s 	  Clock Gating of Streaming Applications for Power Minimization on FPGA’s
Clock Gating of Streaming Applications for Power Minimization on FPGA’s
 
IRJET- The RTL Model of a Reconfigurable Pipelined MCM
IRJET- The RTL Model of a Reconfigurable Pipelined MCMIRJET- The RTL Model of a Reconfigurable Pipelined MCM
IRJET- The RTL Model of a Reconfigurable Pipelined MCM
 
Coding Schemes for Implementation of Fault Tolerant Parrallel Filter
Coding Schemes for Implementation of Fault Tolerant Parrallel FilterCoding Schemes for Implementation of Fault Tolerant Parrallel Filter
Coding Schemes for Implementation of Fault Tolerant Parrallel Filter
 
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
 
Performance Evolution of LTE Cellular Technology
Performance Evolution of LTE Cellular TechnologyPerformance Evolution of LTE Cellular Technology
Performance Evolution of LTE Cellular Technology
 
Soc.pptx
Soc.pptxSoc.pptx
Soc.pptx
 
IRJET-A Blind Watermarking Algorithm
IRJET-A Blind Watermarking AlgorithmIRJET-A Blind Watermarking Algorithm
IRJET-A Blind Watermarking Algorithm
 
IRJET-A Blind Watermarking Algorithm
IRJET-A Blind Watermarking AlgorithmIRJET-A Blind Watermarking Algorithm
IRJET-A Blind Watermarking Algorithm
 
A Blind Watermarking Algorithm
A Blind Watermarking AlgorithmA Blind Watermarking Algorithm
A Blind Watermarking Algorithm
 
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
 
IRJET- MAC Unit by Efficient Grouping of Partial Products along with Circular...
IRJET- MAC Unit by Efficient Grouping of Partial Products along with Circular...IRJET- MAC Unit by Efficient Grouping of Partial Products along with Circular...
IRJET- MAC Unit by Efficient Grouping of Partial Products along with Circular...
 
IRJET- Anti-Fuel Theft Checker System
IRJET- Anti-Fuel Theft Checker SystemIRJET- Anti-Fuel Theft Checker System
IRJET- Anti-Fuel Theft Checker System
 
Vlsi
VlsiVlsi
Vlsi
 

More from IRJET Journal

More from IRJET Journal (20)

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
 

Recently uploaded

VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
dharasingh5698
 
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak HamilCara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Kandungan 087776558899
 
notes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.pptnotes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.ppt
MsecMca
 
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
dharasingh5698
 

Recently uploaded (20)

VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
 
Thermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptThermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.ppt
 
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak HamilCara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
 
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
 
Intze Overhead Water Tank Design by Working Stress - IS Method.pdf
Intze Overhead Water Tank  Design by Working Stress - IS Method.pdfIntze Overhead Water Tank  Design by Working Stress - IS Method.pdf
Intze Overhead Water Tank Design by Working Stress - IS Method.pdf
 
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...Booking open Available Pune Call Girls Koregaon Park  6297143586 Call Hot Ind...
Booking open Available Pune Call Girls Koregaon Park 6297143586 Call Hot Ind...
 
Bhosari ( Call Girls ) Pune 6297143586 Hot Model With Sexy Bhabi Ready For ...
Bhosari ( Call Girls ) Pune  6297143586  Hot Model With Sexy Bhabi Ready For ...Bhosari ( Call Girls ) Pune  6297143586  Hot Model With Sexy Bhabi Ready For ...
Bhosari ( Call Girls ) Pune 6297143586 Hot Model With Sexy Bhabi Ready For ...
 
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance BookingCall Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
 
Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024
 
Design For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startDesign For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the start
 
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
 
Call Girls Wakad Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Wakad Call Me 7737669865 Budget Friendly No Advance BookingCall Girls Wakad Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Wakad Call Me 7737669865 Budget Friendly No Advance Booking
 
Online banking management system project.pdf
Online banking management system project.pdfOnline banking management system project.pdf
Online banking management system project.pdf
 
NFPA 5000 2024 standard .
NFPA 5000 2024 standard                                  .NFPA 5000 2024 standard                                  .
NFPA 5000 2024 standard .
 
notes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.pptnotes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.ppt
 
University management System project report..pdf
University management System project report..pdfUniversity management System project report..pdf
University management System project report..pdf
 
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced LoadsFEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
FEA Based Level 3 Assessment of Deformed Tanks with Fluid Induced Loads
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - V
 
Double rodded leveling 1 pdf activity 01
Double rodded leveling 1 pdf activity 01Double rodded leveling 1 pdf activity 01
Double rodded leveling 1 pdf activity 01
 
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
 

IRJET - Augmented Tangible Style using 8051 MCU

  • 1. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 07 Issue: 04 | Apr 2020 www.irjet.net p-ISSN: 2395-0072 © 2020, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2182 AUGMENTED TANGIBLE STYLE USING 8051 MCU N.JYOTHSNA 1, Dr. T. LALITH KUMAR2 1P.G Student, Dept of ECE, Annamacharya Institute of technology and sciences, Kadapa, Andhra Pradesh, India 2 Professor, Dept. of ECE, Annamachrya Institute of Technology and Sciences, kadapa, Andhra Pradesh India Author ---------------------------------------------------------------------***--------------------------------------------------------------------- Abstract -From the decades VLSI is performing a very vital role in the electronics area, with the aid of EDA tools, we plays inflation of the lap on 8051 microcontroller. For the most partake primitive 8051 microcontroller is negotiated at a clock frequency of 12 MHz, and the pattern is based on 3.5-μm process technology. Hence, the ploy is slow and the volume is giant. To reinforce the ploy performance and to lessen the die volume, we have used 90-nm technology in our style. We primarily played inflation when drafting the RTL codes with the 90 nm accepted cell libraries. Once the gate level net-list is generated, we progress the layout oftheploybygoingthrough floor-planning, placement, and routing. We show that our innovation style which is able operating at frequency at 150 MHz (i.e., 12.5 times sooner than the primitive style), with a symbolic reduction in chip volume (i.e., the total area is77249.814850μm2). The power consumption of the chip is 593.9899 μw, which is no less than 32% lower than that of other8051 derivatives. Key Words: synthesis; floor-planning; placement; physical design, routing 1. INTRODUCTION Despite being ,initiated by Intel more than three decades ago in 1980, the MCS-51 or better known as the 8051 microcontroller remains one of the most familiar frequent view microcontrollers in use until today. Numerous vendors have developed these microcontrollers and are still releasing updates for theirreinforcedbinarysympathetic of8051derivatives. This describes the continue recognition of the ploy. 8051 MCU has giant volume of applications such has huge volume of technical areas, including embedded systems, robotics, and telecommunications. The ploy, for example, only negotiates at 8-bit with a low clock frequency of12MHz.Sincethetechnologyprocedureof this ploy is 3.5 μm, it also has a big chip volumeandcan therefore only be fashioned in a dual-in-line package (DIP). The current applications of the primitive 8051 microcontroller are limited. It is mainly used as a guiding material in undergraduate engineering courses. To reinforce the performance of the primitive 8051 microcontroller, we complete inflation on VLSI circuit device. Here, we present amendment on the VLSI pattern of the 8051 microcontroller from its Register Transfer Language (RTL) code to its Graphic Database System II (GDSII) file. We precise by synthesizing the RTL codes based on an updated transistor technology and by re-pattern the layout of the chip, the performance of the device (i.e., its clock frequency) can be symbolic inflated and volume of the chip is minimized. By managing the power consumption when inflating the ploy, our innovation style postulates considerably lower power in contrast with its other derivatives 2. METHODOLOGY Styling a complete chip from its organization specifications to its final layout is a strained procedure. At the front end, the style flow involves RTL style, style verification, logic synthesis, and static timing analysis (STA), whereas at the back end, it involves floor- planning, power network synthesis (PNS), clock tree synthesis (CTS), placement and routing, chip completing, and tangible corroboration. Although the flowmay appear routine, some of these proceduresare actually iterative, and therefore, they may have to be repeated multiple times. This is especially true when abuse– such as timing, style rule checks (DRC), layout versus schematic (LVS) violations are found during verifications. For our VLSI style, we applied Electronic pattern Automation (EDA) tools, i.e. pattern Compiler forsynthesisandICCompilerfortangiblestylehere,we indicate in detail the essential procedures applied in our course reinforcement. The following are the steps followed in the style improvement 2.1 Synthesis 2.2 Floor planning 2.3 Placement and routing 2.4 Tangible- Corroborations 2.1 Synthesis To reduce the style turn-around-time, by Intel 8051 equivalentRTLsourcecodefromOreganoorganization will achieve. After compilingtheRTLcodeandthelevel libraries and style checks, we synthesize the pattern with the 90-nm technology libraries. In the drafting process, we set the clock frequency to at 150 MHz and the die area to be minimum.Oncethestylesynthesisall the analysis and meets all the style constraints, then
  • 2. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 07 Issue: 04 | Apr 2020 www.irjet.net p-ISSN: 2395-0072 © 2020, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2183 the gate stage net-list is been generated. The essential net-list is achieved in the tangible stylelevelinorderto expand the layout of the style. 2.2 Floor planning In the tangible style, thelibrariesforfloorplanningare first set up. Later the core area and routing area are definite. The core utilization ratio is set to the proper value in order to provide margins forrout-ability.Once the floor plan is created, the basis cells are legally placed without any enrichment which is known as virtual flat placement. This is done in order to analyze the impact of the floor plan on timing and routing congestions as well as to map the power style. Routing congestions usually occur when a huge amountofwire nets are routed in a trivial area. The tool applies global routing methodology to check for routing congestions. In the global routing procedures, the tool divides the chip into global-route cells (GRCs). Each GRC consists of a restricted number of routing wire. The tool then calculates the ratio of the measure of routednetstothe number of accessible nets in each GRC. A ratio of more than one indicatesthatroutingcongestionexistsinthat specific cell which is in the form of grid. If the congestions are found to be unacceptablemodification are performed on the top-level pads or ports, core aspect ratio and volume, as well as the power grid style. The end status in floor mapping is power network synthesis (PNS). After the logical power and ground connections are dissimilar, and then the power rails along the standard cell placement rows are created. The current and resistance or IR drop planisthenused to study the power net work 2.3 Placement and Routing The placement is ready when the pattern passes the PNS test, at this status; the EDA tool performs area, power, and timing inflations and also clock tree synthesis (CTS) on thestyle.Timingandcongestionare later analyzed to check for breach. If breach is found, the path grouping approach is typically applied at the affected areas. After those paths are grouped based on the clocks,whichcontroltheirendpoints,inflationsand CTS are negotiated again. Once placement is finished, the tool negotiates global routing for the inaugural routing. This is followed by specific routing and inflation. DRC breach is commonly detected at this stage. Some of this breachcouldbefixedbyrunningthe Engineering Change Order (ECO) route. Very often, we may have to revert back to floor planning again to resolve the breach. Hence, thesetwoprocedures–floor planning and placement and routing would have to be executed in an iterative manner. 2.4 Tangible corroboration LVS is negotiated at the final style stage to measure up the physical layout with the in flattered gate level net- list. This is to make sure that the logical descriptions of the style aren’t altered during the tangible implementation procedures. Few final verification, such as CTS and final area analysis, are conducted before stream out the GDSII file. The GDSII file is essential for formulate the chip. 3. RESULTS AND DISCUSSION Figure 1 view the inaugural floor plan created by the EDA tool. The tiny boxes in pink are the basis cells, while the green box in the left most of the figure indicates the terminals meant for the input and output (I/O) ports. The style consists of 5574 accepted cells. The similar utilization area is around 0.8. This means that 80% of the area is available for accepted cells, macros, andimpasse placement.Theremaining20%of the area is reserved for routing. The gap between the terminals and the core area is about 10μm. Fig -1: Floor plan of the proposed 8051 microcontroller Figure 2 shows the virtual placement, which was created to check for routing congestions and timing breaches. As can be viewed from Figure 3, the virtual placement report indicates that the total number of cells violating the core area is 0.8. Thus breaches are detected and all cells can be placed into the core area,i.e.,80% of the total style area.
  • 3. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 07 Issue: 04 | Apr 2020 www.irjet.net p-ISSN: 2395-0072 © 2020, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2184 Fig -2: Virtual placement for the proposed 8051 microcontroller Fig -3: Virtual placement report Fig -4: Congestion plan with GRC Fig -5: Congestion report A portion of the congestion heat plan is shown in Figure 4. The congestion heat plan is used to visualize the cruelty of congestion in the global route cells (GRCs). As mentioned in the previous section, the EDA tool calculates the ratio of the measure for routed nets to the number of available nets in each GRC. As can be view from Figure 4, the ratios in the GRCs. The result suggests that there is no congestion in that specified portion. Figure 5 illustrates the conclusion of the congestion report. From the report, we can view that the total number of GRCs is 10100, and none of them experience overflows. In other words, there is no routing congestion in the style. From the report, we observe that the total number of GRCs is 10100, and none of them experience overflows. In other words, there is no routing congestion in the style. Here the clock frequency is less when compared to before and after inflation. When compared to area it is decreased by 30%. The top hierarchical eyeshot of the final layout after routing and corroborations and a close view of the center of the layout are shown in Figures 6 and 7, respectively. The style is routed with nine metal layers. The bottom stage comprise of the accepted cells. The metal fillers and filler cells are inserted into the style to resolve the density breaches. Fig -6: Final Layout The total power consumption is 593.9899μW. As compared to the power consumptions of the 8051 derivatives reported, the inflatized 8051 microcontrollerwestyled.Weconsiderrequiresatleast 1.46timeslesspowertooperate.Inotherwords,power consumption has been reduced by at least 32%.After inflationproposeatleast1.46timespowertonegotiate. In other words, power consumption has been reduced by at least 32%. The feature volume of the transistors are implemented inourstyleisalmost40timessmaller than the proposed micro controller. Table -1: Comparison Of Before and After Optimization of the Design Parameters Before Optimization After Optimization Clock Frequency 12MHZ 150 MHZ
  • 4. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 07 Issue: 04 | Apr 2020 www.irjet.net p-ISSN: 2395-0072 © 2020, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 2185 Area 104984.54047 4 μm2(Based on 90nm_max 77249.81485μ m2 The symbolic reduction in the suitable channel length authorize for an increase in the switching speed of the logic components and less power required to turn on thetransistors.Furthermore,theFigure7describesthe Centre of the layout, same number of transistors could be fashioned in a die with are smaller volume. By inflating the microcontroller using the 90-nm technology library, we show that our style can help which save much energy, apart from operating at a faster speed and having a smaller chip volume. It is interesting to find that the power consumption in our ploy using the 90-nm procedure technology is lower than in the ploy using the 45 nm process technology. We attribute this phenomenon to the careful planning played during floor planning and placement and routing. By carefully placing the cells and inflating the routing process, power consumption of the chip can be minimized. Fig-7: Center of the layout 4. CONCLUSIONS In this paper, we have concluded that the style of the primitive 8051 microcontroller can be symbolically reinforced. By synthesizing the RTL code using libraries with the technology volume half of its primitive and by carefully styling its VLSI layout with the aid of Synopsys EDA tools,wewereabletoincrease the clock frequency to 150 MHz and reduce the die volume to 77249.814850 μm2. The power consumption of our chip is 593.9899 μW, which is primitive to be at least 32% lesser than that of the 8051 derivatives. REFERENCES [1] Ahmad, I., Ho, Y.K., Mali’s, B.Y., 2006. Fabrication and Characterization of a 0.14 μm CMOS Device using ATHENA and ATLAS Simulators. Semiconductor Physics, Quantum Electronics & Optoelectronics, Volume 9(2), pp. 40–44. [2] Arsenal, S., 2013. Sun Tracking System with Microcontroller 8051. International Journal of Scientific and Engineering Research, Volume 4(6), pp. 2998–3001 R. Nicole, “Title of paper with only first word capitalized,” J. Name Stand. Abbrev. In press. [3] Chang, K.L., Chang, J.S., Gwen, B.H., Chong, K.S., 2013. Synchronous-logic and Asynchronous-logic 8051 Microcontroller Cores for Realizing the Internet of Things: A Comparative Study on Dynamic Voltage Scaling and Variation Effects. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, Volume 3(1), pp. 23–34 [4] Iozzi, F., Sayonara, S., Morella, A.J., Fanucci,L.2005. 8051 CPU Core Optimization for Low Power at Register Transfer Level. 2005 PhD Research in Microelectronics and Electronics, July, Volume 2, pp. 178–181 [5] Asynchronous-logic8051MicrocontrollerCoresfor Realizing the Internet of Things: A Comparative Study on Dynamic Voltage Scaling and Variation Effects. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, Volume 3(1), pp. 23–34