SlideShare a Scribd company logo
1 of 26
Download to read offline
Image Fusion:
Approaches in Hardware
- Kshitij Agrawal
Computer Vision Engineer
1
Github | Linkedin | Twitter
Image Fusion Approaches
• Fusion Algorithms
• FPGA Based Architectures
• Hybrid Architectures
2
FUSION ALGORITHMS
3
4
HARDWARE ARCHITECTURRES
5
A Multi-sensor Image Fusion and Enhancement System for
Assisting Drivers in Poor Lighting Conditions
- Li Tao, Hau Ngo, Ming Zhang, Adam Livingston and Vijayan
Asari (2005)
Flow
• DRC + Contrast
enhancement – prior to
fusion
• Color restoration – with
chromatic info from CCD
post fusion
• Nonlinear neighborhood
dependent image
enhancement
• wavelet transform based
multiresolution image fusion
6
Hardware Utilization
• Pipelined enhancement
architecture utilizes about 50%
of the logic slices in Xilinx Virtex
II XC2V2000-4FF896 FPGA
• At 67 MHz sustain a throughput
rate of about 67 Mpx/sec
or 63.9 1024×1024
• Driving applications – night or
bad weather
7
Results of image
enhancement, image
alignment and image fusion:
(a) original visible image;
(b) enhanced visible image;
(c) original thermal image;
(d) ‘projective’ transformed
visible image (enhanced)
aligned with thermal image;
(e) fused image with color
restoration
8
Method of Image Fusion and Enhancement Using Mask
Pyramid
- David C. Zhang, Sek Chai and Gooitzen Van der Wal (SRI , 2011)
Flow
• Mask pyramid based selection
rule for LAP
• Acadia® II vision processor can
align and fuse three 1280x1024
images in real time
• If any intelligent analysis from
the source imager needs to be
used, it can be programmed into
mask pyramid.
• Flicker is a flashing effect occurs in fusion. This artifact is often seen
in the fusion of LWIR and SWIR or Visible images, when both image
sources contain reverse intensities at the same pixel locations. To
improve temporal coherence and reduce flicker artifacts, a hysteresis
mask is defined
9
FPGA System
10
(a) Visible image.
(b) IR image noise.
(c) Fusion without noise
mask. (d) Fusion with
noise mask. Note the
increased contrast and
speckle free sky.
11
Real-time Single FPGA-Based Multimodal Image Fusion System
& Fast and Adaptive Bi-dimensional Empirical
Mode Decomposition for the Real-time Video Fusion
- Michał Bartyś, Barbara Putz, Adrian Antoniewicz (2012)
Flow
• Real-time fusion algorithm goals - effective data processing, smooth fused video output & low
complexity allowing for direct low-level implementation.
• Candidates: Laplacian pyramid method [14], shift-invariant discrete wavelet transform (SIDWT)
method & FABEMD fusion algorithm [15, 16] based on the fast and adaptive bidimensional
mode decomposition.
12
13
•low cost, low power device
•150K logic elements,
•6.48 Mb of embedded memory,
•360 18 × 18 multipliers,
•475 user I/O arranged in 11 banks.
•Running at 150MHz clock frequency.
•Two banks of external DDRAM2
memories - 2x64Mx32b.
•Synchronous burst SRAM (2Mx18b)
FPGA System
14
Performance
•Tests have been done with means of COTS
kit based on Altera Cyclone IV FPGA
•Power dissipation of FPGA image fusion
system is rated <4W.
•UFO intended to manage real-time image
alignment and fusion operating at 50Hz and
above.
Hardware Utilization
• Al times are achieved for
input image resolution equal
640x480 pixels. FPGA is
synchronized by 150MHz
clock.
• Developed prototype of
real-time fusion system is
capable to process at 25 fps
• FPGA power dissipation
rated <1W while performing
real-time multimodal image
fusion
15
*Antoniewicz “FPGA Implementation of Decomposition Methods for
Real-Time Image Fusion”, Img Pr Com Challenges 4, Springer, 2013
(Detailed implementation)
Examples of multimodal image fusion of images
a:) TV image; b) IR image; c) TV image after morphological edge extraction;
d) IR image after morphological edge extraction; e) fusion by averaging; f) fusion
by SIDWT method; g) fusion by Laplacian pyramid; h) fusion by FABEMD method.
16
Others
Implementation of Image Fusion Techniques for Multi-Focus Images Using FPGA
- MA. Mohamed and R.M EI-Den2 (2011)
• DCT, DWT and PCNN based Fusion
• Xilinx Spartan 3AN
• (1) "lab" of size 640x480; (2) "Pepsi" of size 512x512, and (3) "Toy" of size 512x512.
17
Design and Implementation of Image Fusion Technique Using DWT for Micro Air
Vehicle - Dr. S. Narayana Reddy, C. Chandrasekhar (2014)
•Power consumption 0.10774W
•Operates at a maximum frequency of 456.73MHz
•Input 100x100 px @ 40Mhz
Hardware Utilization
18
HYBRID APPROACHES
19
• A mixed quasi-parallel structure with the DSP processors as well as FPGA matrices
has been seriously taken into account.
• Evaluation of computational power of DSP processor chosen for eventual
application in UFO system was done experimentally by application of special
software tests. Investigations were arranged by means of the off-the-shelf video
kit based on TMS320C6446 processor
20
Real-time Single FPGA-Based Multimodal Image Fusion System
- Michał Bartyś, Barbara Putz, Adrian Antoniewicz (2012)
Performance
• Application of chosen DSP processor does not guarantee achievement of
satisfactory processing times acceptable for implementation of image
registering and fusion at video frame rates equal 40ms.
21
Image Fusion Real-time System Based on FPGA and Multi-DSP
- Feng Qu, Bochao Liu, Jian Zhao, Qiang Sun (2013)
• In the final experiment, the image fusion of the five-band image with a frame rate
of 15Hz, and a resolution of 1392 × 1040, is successfully completed in 41ms by this
system.
• FPGA Alter Cyclone II - EP2C70F896, and DSP TI - TMS320C6416.
22
23
QUALITATIVE ANALYSIS
24
• Experimental Tests of Image Fusion for Night Vision - Yin Chen RS Blum
(2005)
LAP and SIDWT gives best performance for Night vision
• Implementation of Image Fusion Techniques for Multi-Focus Images
Using FPGA - MA. Mohamed and R.M EI-Den2 (2011)
25
Conclusion
• Best algorithm - Gradient pyramid, mask pyramid,
FABEMD, SiDWT
• Least Hardware Complexity – LAP
• FPGA – low power (<1W), high throughput
(25fps, 1208x1024)
• High Bandwidth - Need multiple DDR connected
in parallel, SRAM is bonus
26

More Related Content

What's hot

MIT's experience on OpenPOWER/POWER 9 platform
MIT's experience on OpenPOWER/POWER 9 platformMIT's experience on OpenPOWER/POWER 9 platform
MIT's experience on OpenPOWER/POWER 9 platformGanesan Narayanasamy
 
A Platform for Accelerating Machine Learning Applications
 A Platform for Accelerating Machine Learning Applications A Platform for Accelerating Machine Learning Applications
A Platform for Accelerating Machine Learning ApplicationsNVIDIA Taiwan
 
"Approaches for Energy Efficient Implementation of Deep Neural Networks," a P...
"Approaches for Energy Efficient Implementation of Deep Neural Networks," a P..."Approaches for Energy Efficient Implementation of Deep Neural Networks," a P...
"Approaches for Energy Efficient Implementation of Deep Neural Networks," a P...Edge AI and Vision Alliance
 
White Paper - CEVA-XM4 Intelligent Vision Processor
White Paper - CEVA-XM4 Intelligent Vision ProcessorWhite Paper - CEVA-XM4 Intelligent Vision Processor
White Paper - CEVA-XM4 Intelligent Vision ProcessorCEVA, Inc.
 
"How to Test and Validate an Automated Driving System," a Presentation from M...
"How to Test and Validate an Automated Driving System," a Presentation from M..."How to Test and Validate an Automated Driving System," a Presentation from M...
"How to Test and Validate an Automated Driving System," a Presentation from M...Edge AI and Vision Alliance
 
From Rack scale computers to Warehouse scale computers
From Rack scale computers to Warehouse scale computersFrom Rack scale computers to Warehouse scale computers
From Rack scale computers to Warehouse scale computersRyousei Takano
 
DATE 2020: Design, Automation and Test in Europe Conference
DATE 2020: Design, Automation and Test in Europe ConferenceDATE 2020: Design, Automation and Test in Europe Conference
DATE 2020: Design, Automation and Test in Europe ConferenceLEGATO project
 
Evolution of Supermicro GPU Server Solution
Evolution of Supermicro GPU Server SolutionEvolution of Supermicro GPU Server Solution
Evolution of Supermicro GPU Server SolutionNVIDIA Taiwan
 
PhD defense talk (portfolio of my expertise)
PhD defense talk (portfolio of my expertise)PhD defense talk (portfolio of my expertise)
PhD defense talk (portfolio of my expertise)Gernot Ziegler
 
IEEE CloudCom 2014参加報告
IEEE CloudCom 2014参加報告IEEE CloudCom 2014参加報告
IEEE CloudCom 2014参加報告Ryousei Takano
 
NEW IMPROVED 2D SVD BASED ALGORITHM FOR VIDEO CODING
NEW IMPROVED 2D SVD BASED ALGORITHM FOR VIDEO CODINGNEW IMPROVED 2D SVD BASED ALGORITHM FOR VIDEO CODING
NEW IMPROVED 2D SVD BASED ALGORITHM FOR VIDEO CODINGcscpconf
 
"Using the OpenCL C Kernel Language for Embedded Vision Processors," a Presen...
"Using the OpenCL C Kernel Language for Embedded Vision Processors," a Presen..."Using the OpenCL C Kernel Language for Embedded Vision Processors," a Presen...
"Using the OpenCL C Kernel Language for Embedded Vision Processors," a Presen...Edge AI and Vision Alliance
 
Deep learning fundamental and Research project on IBM POWER9 system from NUS
Deep learning fundamental and Research project on IBM POWER9 system from NUSDeep learning fundamental and Research project on IBM POWER9 system from NUS
Deep learning fundamental and Research project on IBM POWER9 system from NUSGanesan Narayanasamy
 
"Semantic Segmentation for Scene Understanding: Algorithms and Implementation...
"Semantic Segmentation for Scene Understanding: Algorithms and Implementation..."Semantic Segmentation for Scene Understanding: Algorithms and Implementation...
"Semantic Segmentation for Scene Understanding: Algorithms and Implementation...Edge AI and Vision Alliance
 
NNECST: an FPGA-based approach for the hardware acceleration of Convolutional...
NNECST: an FPGA-based approach for the hardware acceleration of Convolutional...NNECST: an FPGA-based approach for the hardware acceleration of Convolutional...
NNECST: an FPGA-based approach for the hardware acceleration of Convolutional...NECST Lab @ Politecnico di Milano
 
"Implementing Histogram of Oriented Gradients on a Parallel Vision Processor,...
"Implementing Histogram of Oriented Gradients on a Parallel Vision Processor,..."Implementing Histogram of Oriented Gradients on a Parallel Vision Processor,...
"Implementing Histogram of Oriented Gradients on a Parallel Vision Processor,...Edge AI and Vision Alliance
 
Hardware architecture of Summit Supercomputer
 Hardware architecture of Summit Supercomputer Hardware architecture of Summit Supercomputer
Hardware architecture of Summit SupercomputerVigneshwarRamaswamy
 

What's hot (20)

MIT's experience on OpenPOWER/POWER 9 platform
MIT's experience on OpenPOWER/POWER 9 platformMIT's experience on OpenPOWER/POWER 9 platform
MIT's experience on OpenPOWER/POWER 9 platform
 
A Platform for Accelerating Machine Learning Applications
 A Platform for Accelerating Machine Learning Applications A Platform for Accelerating Machine Learning Applications
A Platform for Accelerating Machine Learning Applications
 
"Approaches for Energy Efficient Implementation of Deep Neural Networks," a P...
"Approaches for Energy Efficient Implementation of Deep Neural Networks," a P..."Approaches for Energy Efficient Implementation of Deep Neural Networks," a P...
"Approaches for Energy Efficient Implementation of Deep Neural Networks," a P...
 
White Paper - CEVA-XM4 Intelligent Vision Processor
White Paper - CEVA-XM4 Intelligent Vision ProcessorWhite Paper - CEVA-XM4 Intelligent Vision Processor
White Paper - CEVA-XM4 Intelligent Vision Processor
 
"How to Test and Validate an Automated Driving System," a Presentation from M...
"How to Test and Validate an Automated Driving System," a Presentation from M..."How to Test and Validate an Automated Driving System," a Presentation from M...
"How to Test and Validate an Automated Driving System," a Presentation from M...
 
From Rack scale computers to Warehouse scale computers
From Rack scale computers to Warehouse scale computersFrom Rack scale computers to Warehouse scale computers
From Rack scale computers to Warehouse scale computers
 
DATE 2020: Design, Automation and Test in Europe Conference
DATE 2020: Design, Automation and Test in Europe ConferenceDATE 2020: Design, Automation and Test in Europe Conference
DATE 2020: Design, Automation and Test in Europe Conference
 
On-Device AI
On-Device AIOn-Device AI
On-Device AI
 
Evolution of Supermicro GPU Server Solution
Evolution of Supermicro GPU Server SolutionEvolution of Supermicro GPU Server Solution
Evolution of Supermicro GPU Server Solution
 
PhD defense talk (portfolio of my expertise)
PhD defense talk (portfolio of my expertise)PhD defense talk (portfolio of my expertise)
PhD defense talk (portfolio of my expertise)
 
IEEE CloudCom 2014参加報告
IEEE CloudCom 2014参加報告IEEE CloudCom 2014参加報告
IEEE CloudCom 2014参加報告
 
NEW IMPROVED 2D SVD BASED ALGORITHM FOR VIDEO CODING
NEW IMPROVED 2D SVD BASED ALGORITHM FOR VIDEO CODINGNEW IMPROVED 2D SVD BASED ALGORITHM FOR VIDEO CODING
NEW IMPROVED 2D SVD BASED ALGORITHM FOR VIDEO CODING
 
2. Cnnecst-Why the use of FPGA?
2. Cnnecst-Why the use of FPGA? 2. Cnnecst-Why the use of FPGA?
2. Cnnecst-Why the use of FPGA?
 
"Using the OpenCL C Kernel Language for Embedded Vision Processors," a Presen...
"Using the OpenCL C Kernel Language for Embedded Vision Processors," a Presen..."Using the OpenCL C Kernel Language for Embedded Vision Processors," a Presen...
"Using the OpenCL C Kernel Language for Embedded Vision Processors," a Presen...
 
Deep learning fundamental and Research project on IBM POWER9 system from NUS
Deep learning fundamental and Research project on IBM POWER9 system from NUSDeep learning fundamental and Research project on IBM POWER9 system from NUS
Deep learning fundamental and Research project on IBM POWER9 system from NUS
 
"Semantic Segmentation for Scene Understanding: Algorithms and Implementation...
"Semantic Segmentation for Scene Understanding: Algorithms and Implementation..."Semantic Segmentation for Scene Understanding: Algorithms and Implementation...
"Semantic Segmentation for Scene Understanding: Algorithms and Implementation...
 
Exascale Capabl
Exascale CapablExascale Capabl
Exascale Capabl
 
NNECST: an FPGA-based approach for the hardware acceleration of Convolutional...
NNECST: an FPGA-based approach for the hardware acceleration of Convolutional...NNECST: an FPGA-based approach for the hardware acceleration of Convolutional...
NNECST: an FPGA-based approach for the hardware acceleration of Convolutional...
 
"Implementing Histogram of Oriented Gradients on a Parallel Vision Processor,...
"Implementing Histogram of Oriented Gradients on a Parallel Vision Processor,..."Implementing Histogram of Oriented Gradients on a Parallel Vision Processor,...
"Implementing Histogram of Oriented Gradients on a Parallel Vision Processor,...
 
Hardware architecture of Summit Supercomputer
 Hardware architecture of Summit Supercomputer Hardware architecture of Summit Supercomputer
Hardware architecture of Summit Supercomputer
 

Viewers also liked

Powe point cv
Powe point cvPowe point cv
Powe point cvIoana01
 
Small Biz CEDC Orientation
Small Biz CEDC OrientationSmall Biz CEDC Orientation
Small Biz CEDC OrientationMary Phillips
 
De Dieu Butler App for dummies
De Dieu Butler App for dummiesDe Dieu Butler App for dummies
De Dieu Butler App for dummiesTom Hes
 
WordPressテンプレート階層を理解する。テーマカスタマイズに必要な5つのポイント!|WordPressもくもく勉強会 at コエド第6回
WordPressテンプレート階層を理解する。テーマカスタマイズに必要な5つのポイント!|WordPressもくもく勉強会 at コエド第6回WordPressテンプレート階層を理解する。テーマカスタマイズに必要な5つのポイント!|WordPressもくもく勉強会 at コエド第6回
WordPressテンプレート階層を理解する。テーマカスタマイズに必要な5つのポイント!|WordPressもくもく勉強会 at コエド第6回Yoshinori Kobayashi
 
Convegno Erickson 2015 lab DIDA 76 Radio Magica
Convegno Erickson 2015 lab DIDA 76 Radio MagicaConvegno Erickson 2015 lab DIDA 76 Radio Magica
Convegno Erickson 2015 lab DIDA 76 Radio MagicaSabrina D'Orsi
 
สอนแต่งภาพ
สอนแต่งภาพสอนแต่งภาพ
สอนแต่งภาพMild Mutita
 
WordPressのテンプレートタグを理解する
WordPressのテンプレートタグを理解するWordPressのテンプレートタグを理解する
WordPressのテンプレートタグを理解するYoshinori Kobayashi
 
The Future of Travel in 2020
The Future of Travel in 2020The Future of Travel in 2020
The Future of Travel in 2020Turistenístico
 
Instruction
InstructionInstruction
InstructionMsGeo
 
WordPressもくもく勉強会について― WordPressもくもく倶楽部 at コワーキングスペース 茅場町 Co-Edo
WordPressもくもく勉強会について― WordPressもくもく倶楽部 at コワーキングスペース 茅場町 Co-EdoWordPressもくもく勉強会について― WordPressもくもく倶楽部 at コワーキングスペース 茅場町 Co-Edo
WordPressもくもく勉強会について― WordPressもくもく倶楽部 at コワーキングスペース 茅場町 Co-EdoYoshinori Kobayashi
 
Imuryhmän esitys - Apps4sust-ohjelman valmistelu 10072013
Imuryhmän esitys - Apps4sust-ohjelman valmistelu 10072013Imuryhmän esitys - Apps4sust-ohjelman valmistelu 10072013
Imuryhmän esitys - Apps4sust-ohjelman valmistelu 10072013Mikko Järvilehto
 
Facultad de Filosofía Doris Fernanda Iza
Facultad de Filosofía Doris Fernanda IzaFacultad de Filosofía Doris Fernanda Iza
Facultad de Filosofía Doris Fernanda IzaDorisFernandaIza
 

Viewers also liked (20)

Powe point cv
Powe point cvPowe point cv
Powe point cv
 
Small Biz CEDC Orientation
Small Biz CEDC OrientationSmall Biz CEDC Orientation
Small Biz CEDC Orientation
 
Karate
KarateKarate
Karate
 
De Dieu Butler App for dummies
De Dieu Butler App for dummiesDe Dieu Butler App for dummies
De Dieu Butler App for dummies
 
WordPressテンプレート階層を理解する。テーマカスタマイズに必要な5つのポイント!|WordPressもくもく勉強会 at コエド第6回
WordPressテンプレート階層を理解する。テーマカスタマイズに必要な5つのポイント!|WordPressもくもく勉強会 at コエド第6回WordPressテンプレート階層を理解する。テーマカスタマイズに必要な5つのポイント!|WordPressもくもく勉強会 at コエド第6回
WordPressテンプレート階層を理解する。テーマカスタマイズに必要な5つのポイント!|WordPressもくもく勉強会 at コエド第6回
 
Kraf
KrafKraf
Kraf
 
Convegno Erickson 2015 lab DIDA 76 Radio Magica
Convegno Erickson 2015 lab DIDA 76 Radio MagicaConvegno Erickson 2015 lab DIDA 76 Radio Magica
Convegno Erickson 2015 lab DIDA 76 Radio Magica
 
สอนแต่งภาพ
สอนแต่งภาพสอนแต่งภาพ
สอนแต่งภาพ
 
WordPressのテンプレートタグを理解する
WordPressのテンプレートタグを理解するWordPressのテンプレートタグを理解する
WordPressのテンプレートタグを理解する
 
The Future of Travel in 2020
The Future of Travel in 2020The Future of Travel in 2020
The Future of Travel in 2020
 
Instruction
InstructionInstruction
Instruction
 
Rekha continental-limited
Rekha continental-limitedRekha continental-limited
Rekha continental-limited
 
Alat dandanan
Alat dandananAlat dandanan
Alat dandanan
 
WordPressもくもく勉強会について― WordPressもくもく倶楽部 at コワーキングスペース 茅場町 Co-Edo
WordPressもくもく勉強会について― WordPressもくもく倶楽部 at コワーキングスペース 茅場町 Co-EdoWordPressもくもく勉強会について― WordPressもくもく倶楽部 at コワーキングスペース 茅場町 Co-Edo
WordPressもくもく勉強会について― WordPressもくもく倶楽部 at コワーキングスペース 茅場町 Co-Edo
 
Jesa 22-3
Jesa 22-3Jesa 22-3
Jesa 22-3
 
Imuryhmän esitys - Apps4sust-ohjelman valmistelu 10072013
Imuryhmän esitys - Apps4sust-ohjelman valmistelu 10072013Imuryhmän esitys - Apps4sust-ohjelman valmistelu 10072013
Imuryhmän esitys - Apps4sust-ohjelman valmistelu 10072013
 
Facultad de Filosofía Doris Fernanda Iza
Facultad de Filosofía Doris Fernanda IzaFacultad de Filosofía Doris Fernanda Iza
Facultad de Filosofía Doris Fernanda Iza
 
Vero moda præsentation
Vero moda præsentationVero moda præsentation
Vero moda præsentation
 
Mafalda
MafaldaMafalda
Mafalda
 
Pagsasalita
PagsasalitaPagsasalita
Pagsasalita
 

Similar to Image Fusion - Approaches in Hardware

FPGA Hardware Accelerator for Machine Learning
FPGA Hardware Accelerator for Machine Learning FPGA Hardware Accelerator for Machine Learning
FPGA Hardware Accelerator for Machine Learning Dr. Swaminathan Kathirvel
 
Multi Processor Architecture for image processing
Multi Processor Architecture for image processingMulti Processor Architecture for image processing
Multi Processor Architecture for image processingideas2ignite
 
“Enabling Ultra-low Power Edge Inference and On-device Learning with Akida,” ...
“Enabling Ultra-low Power Edge Inference and On-device Learning with Akida,” ...“Enabling Ultra-low Power Edge Inference and On-device Learning with Akida,” ...
“Enabling Ultra-low Power Edge Inference and On-device Learning with Akida,” ...Edge AI and Vision Alliance
 
Presentation for min project
Presentation for min projectPresentation for min project
Presentation for min projectaraya kiros
 
Imaging automotive 2015 addfor v002
Imaging automotive 2015   addfor v002Imaging automotive 2015   addfor v002
Imaging automotive 2015 addfor v002Enrico Busto
 
Imaging automotive 2015 addfor v002
Imaging automotive 2015   addfor v002Imaging automotive 2015   addfor v002
Imaging automotive 2015 addfor v002Enrico Busto
 
Hai Tao at AI Frontiers: Deep Learning For Embedded Vision System
Hai Tao at AI Frontiers: Deep Learning For Embedded Vision SystemHai Tao at AI Frontiers: Deep Learning For Embedded Vision System
Hai Tao at AI Frontiers: Deep Learning For Embedded Vision SystemAI Frontiers
 
Gpu with cuda architecture
Gpu with cuda architectureGpu with cuda architecture
Gpu with cuda architectureDhaval Kaneria
 
A low cost hardware architecture for illumination adjustment in real-time app...
A low cost hardware architecture for illumination adjustment in real-time app...A low cost hardware architecture for illumination adjustment in real-time app...
A low cost hardware architecture for illumination adjustment in real-time app...I3E Technologies
 
A low cost hardware architecture for illumination adjustment in real-time app...
A low cost hardware architecture for illumination adjustment in real-time app...A low cost hardware architecture for illumination adjustment in real-time app...
A low cost hardware architecture for illumination adjustment in real-time app...I3E Technologies
 
Cloud and Grid Integration OW2 Conference Nov10
Cloud and Grid Integration OW2 Conference Nov10Cloud and Grid Integration OW2 Conference Nov10
Cloud and Grid Integration OW2 Conference Nov10OW2
 
Neuromophic device for Automotive
Neuromophic device for AutomotiveNeuromophic device for Automotive
Neuromophic device for AutomotiveYoshifumi Sakamoto
 
IRJET- A Review Paper on Object Detection using Zynq-7000 FPGA for an Embedde...
IRJET- A Review Paper on Object Detection using Zynq-7000 FPGA for an Embedde...IRJET- A Review Paper on Object Detection using Zynq-7000 FPGA for an Embedde...
IRJET- A Review Paper on Object Detection using Zynq-7000 FPGA for an Embedde...IRJET Journal
 
“MIPI CSI-2 Image Sensor Interface Standard Features Enable Efficient Embedde...
“MIPI CSI-2 Image Sensor Interface Standard Features Enable Efficient Embedde...“MIPI CSI-2 Image Sensor Interface Standard Features Enable Efficient Embedde...
“MIPI CSI-2 Image Sensor Interface Standard Features Enable Efficient Embedde...Edge AI and Vision Alliance
 
FYP-Final-External
FYP-Final-ExternalFYP-Final-External
FYP-Final-ExternalAhmed Rik
 
Extending Hadoop for Fun & Profit
Extending Hadoop for Fun & ProfitExtending Hadoop for Fun & Profit
Extending Hadoop for Fun & ProfitMilind Bhandarkar
 
System-on-Chip Programmable Retina
System-on-Chip Programmable RetinaSystem-on-Chip Programmable Retina
System-on-Chip Programmable RetinaVanya Valindria
 

Similar to Image Fusion - Approaches in Hardware (20)

FPGA Hardware Accelerator for Machine Learning
FPGA Hardware Accelerator for Machine Learning FPGA Hardware Accelerator for Machine Learning
FPGA Hardware Accelerator for Machine Learning
 
Multi Processor Architecture for image processing
Multi Processor Architecture for image processingMulti Processor Architecture for image processing
Multi Processor Architecture for image processing
 
“Enabling Ultra-low Power Edge Inference and On-device Learning with Akida,” ...
“Enabling Ultra-low Power Edge Inference and On-device Learning with Akida,” ...“Enabling Ultra-low Power Edge Inference and On-device Learning with Akida,” ...
“Enabling Ultra-low Power Edge Inference and On-device Learning with Akida,” ...
 
imagefiltervhdl.pptx
imagefiltervhdl.pptximagefiltervhdl.pptx
imagefiltervhdl.pptx
 
OBDPC 2022
OBDPC 2022OBDPC 2022
OBDPC 2022
 
Presentation for min project
Presentation for min projectPresentation for min project
Presentation for min project
 
Imaging automotive 2015 addfor v002
Imaging automotive 2015   addfor v002Imaging automotive 2015   addfor v002
Imaging automotive 2015 addfor v002
 
Imaging automotive 2015 addfor v002
Imaging automotive 2015   addfor v002Imaging automotive 2015   addfor v002
Imaging automotive 2015 addfor v002
 
Hai Tao at AI Frontiers: Deep Learning For Embedded Vision System
Hai Tao at AI Frontiers: Deep Learning For Embedded Vision SystemHai Tao at AI Frontiers: Deep Learning For Embedded Vision System
Hai Tao at AI Frontiers: Deep Learning For Embedded Vision System
 
Gpu with cuda architecture
Gpu with cuda architectureGpu with cuda architecture
Gpu with cuda architecture
 
A low cost hardware architecture for illumination adjustment in real-time app...
A low cost hardware architecture for illumination adjustment in real-time app...A low cost hardware architecture for illumination adjustment in real-time app...
A low cost hardware architecture for illumination adjustment in real-time app...
 
A low cost hardware architecture for illumination adjustment in real-time app...
A low cost hardware architecture for illumination adjustment in real-time app...A low cost hardware architecture for illumination adjustment in real-time app...
A low cost hardware architecture for illumination adjustment in real-time app...
 
Cloud and Grid Integration OW2 Conference Nov10
Cloud and Grid Integration OW2 Conference Nov10Cloud and Grid Integration OW2 Conference Nov10
Cloud and Grid Integration OW2 Conference Nov10
 
Neuromophic device for Automotive
Neuromophic device for AutomotiveNeuromophic device for Automotive
Neuromophic device for Automotive
 
IRJET- A Review Paper on Object Detection using Zynq-7000 FPGA for an Embedde...
IRJET- A Review Paper on Object Detection using Zynq-7000 FPGA for an Embedde...IRJET- A Review Paper on Object Detection using Zynq-7000 FPGA for an Embedde...
IRJET- A Review Paper on Object Detection using Zynq-7000 FPGA for an Embedde...
 
“MIPI CSI-2 Image Sensor Interface Standard Features Enable Efficient Embedde...
“MIPI CSI-2 Image Sensor Interface Standard Features Enable Efficient Embedde...“MIPI CSI-2 Image Sensor Interface Standard Features Enable Efficient Embedde...
“MIPI CSI-2 Image Sensor Interface Standard Features Enable Efficient Embedde...
 
FYP-Final-External
FYP-Final-ExternalFYP-Final-External
FYP-Final-External
 
Extending Hadoop for Fun & Profit
Extending Hadoop for Fun & ProfitExtending Hadoop for Fun & Profit
Extending Hadoop for Fun & Profit
 
System-on-Chip Programmable Retina
System-on-Chip Programmable RetinaSystem-on-Chip Programmable Retina
System-on-Chip Programmable Retina
 
Moving object detection on FPGA
Moving object detection on FPGAMoving object detection on FPGA
Moving object detection on FPGA
 

Recently uploaded

Comparative Analysis of Text Summarization Techniques
Comparative Analysis of Text Summarization TechniquesComparative Analysis of Text Summarization Techniques
Comparative Analysis of Text Summarization Techniquesugginaramesh
 
Electronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfElectronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfme23b1001
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxDeepakSakkari2
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
Risk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfRisk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfROCENODodongVILLACER
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 
Introduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHIntroduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHC Sai Kiran
 
Arduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptArduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptSAURABHKUMAR892774
 
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor CatchersTechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catcherssdickerson1
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx959SahilShah
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)dollysharma2066
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort servicejennyeacort
 
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)Dr SOUNDIRARAJ N
 
Work Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvWork Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvLewisJB
 
8251 universal synchronous asynchronous receiver transmitter
8251 universal synchronous asynchronous receiver transmitter8251 universal synchronous asynchronous receiver transmitter
8251 universal synchronous asynchronous receiver transmitterShivangiSharma879191
 
An introduction to Semiconductor and its types.pptx
An introduction to Semiconductor and its types.pptxAn introduction to Semiconductor and its types.pptx
An introduction to Semiconductor and its types.pptxPurva Nikam
 

Recently uploaded (20)

Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
Comparative Analysis of Text Summarization Techniques
Comparative Analysis of Text Summarization TechniquesComparative Analysis of Text Summarization Techniques
Comparative Analysis of Text Summarization Techniques
 
Electronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfElectronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdf
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptx
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
Risk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdfRisk Assessment For Installation of Drainage Pipes.pdf
Risk Assessment For Installation of Drainage Pipes.pdf
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 
Introduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECHIntroduction to Machine Learning Unit-3 for II MECH
Introduction to Machine Learning Unit-3 for II MECH
 
Arduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptArduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.ppt
 
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor CatchersTechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
 
Work Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvWork Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvv
 
POWER SYSTEMS-1 Complete notes examples
POWER SYSTEMS-1 Complete notes  examplesPOWER SYSTEMS-1 Complete notes  examples
POWER SYSTEMS-1 Complete notes examples
 
8251 universal synchronous asynchronous receiver transmitter
8251 universal synchronous asynchronous receiver transmitter8251 universal synchronous asynchronous receiver transmitter
8251 universal synchronous asynchronous receiver transmitter
 
An introduction to Semiconductor and its types.pptx
An introduction to Semiconductor and its types.pptxAn introduction to Semiconductor and its types.pptx
An introduction to Semiconductor and its types.pptx
 

Image Fusion - Approaches in Hardware

  • 1. Image Fusion: Approaches in Hardware - Kshitij Agrawal Computer Vision Engineer 1 Github | Linkedin | Twitter
  • 2. Image Fusion Approaches • Fusion Algorithms • FPGA Based Architectures • Hybrid Architectures 2
  • 4. 4
  • 6. A Multi-sensor Image Fusion and Enhancement System for Assisting Drivers in Poor Lighting Conditions - Li Tao, Hau Ngo, Ming Zhang, Adam Livingston and Vijayan Asari (2005) Flow • DRC + Contrast enhancement – prior to fusion • Color restoration – with chromatic info from CCD post fusion • Nonlinear neighborhood dependent image enhancement • wavelet transform based multiresolution image fusion 6
  • 7. Hardware Utilization • Pipelined enhancement architecture utilizes about 50% of the logic slices in Xilinx Virtex II XC2V2000-4FF896 FPGA • At 67 MHz sustain a throughput rate of about 67 Mpx/sec or 63.9 1024×1024 • Driving applications – night or bad weather 7
  • 8. Results of image enhancement, image alignment and image fusion: (a) original visible image; (b) enhanced visible image; (c) original thermal image; (d) ‘projective’ transformed visible image (enhanced) aligned with thermal image; (e) fused image with color restoration 8
  • 9. Method of Image Fusion and Enhancement Using Mask Pyramid - David C. Zhang, Sek Chai and Gooitzen Van der Wal (SRI , 2011) Flow • Mask pyramid based selection rule for LAP • Acadia® II vision processor can align and fuse three 1280x1024 images in real time • If any intelligent analysis from the source imager needs to be used, it can be programmed into mask pyramid. • Flicker is a flashing effect occurs in fusion. This artifact is often seen in the fusion of LWIR and SWIR or Visible images, when both image sources contain reverse intensities at the same pixel locations. To improve temporal coherence and reduce flicker artifacts, a hysteresis mask is defined 9
  • 11. (a) Visible image. (b) IR image noise. (c) Fusion without noise mask. (d) Fusion with noise mask. Note the increased contrast and speckle free sky. 11
  • 12. Real-time Single FPGA-Based Multimodal Image Fusion System & Fast and Adaptive Bi-dimensional Empirical Mode Decomposition for the Real-time Video Fusion - Michał Bartyś, Barbara Putz, Adrian Antoniewicz (2012) Flow • Real-time fusion algorithm goals - effective data processing, smooth fused video output & low complexity allowing for direct low-level implementation. • Candidates: Laplacian pyramid method [14], shift-invariant discrete wavelet transform (SIDWT) method & FABEMD fusion algorithm [15, 16] based on the fast and adaptive bidimensional mode decomposition. 12
  • 13. 13 •low cost, low power device •150K logic elements, •6.48 Mb of embedded memory, •360 18 × 18 multipliers, •475 user I/O arranged in 11 banks. •Running at 150MHz clock frequency. •Two banks of external DDRAM2 memories - 2x64Mx32b. •Synchronous burst SRAM (2Mx18b) FPGA System
  • 14. 14 Performance •Tests have been done with means of COTS kit based on Altera Cyclone IV FPGA •Power dissipation of FPGA image fusion system is rated <4W. •UFO intended to manage real-time image alignment and fusion operating at 50Hz and above.
  • 15. Hardware Utilization • Al times are achieved for input image resolution equal 640x480 pixels. FPGA is synchronized by 150MHz clock. • Developed prototype of real-time fusion system is capable to process at 25 fps • FPGA power dissipation rated <1W while performing real-time multimodal image fusion 15 *Antoniewicz “FPGA Implementation of Decomposition Methods for Real-Time Image Fusion”, Img Pr Com Challenges 4, Springer, 2013 (Detailed implementation)
  • 16. Examples of multimodal image fusion of images a:) TV image; b) IR image; c) TV image after morphological edge extraction; d) IR image after morphological edge extraction; e) fusion by averaging; f) fusion by SIDWT method; g) fusion by Laplacian pyramid; h) fusion by FABEMD method. 16
  • 17. Others Implementation of Image Fusion Techniques for Multi-Focus Images Using FPGA - MA. Mohamed and R.M EI-Den2 (2011) • DCT, DWT and PCNN based Fusion • Xilinx Spartan 3AN • (1) "lab" of size 640x480; (2) "Pepsi" of size 512x512, and (3) "Toy" of size 512x512. 17 Design and Implementation of Image Fusion Technique Using DWT for Micro Air Vehicle - Dr. S. Narayana Reddy, C. Chandrasekhar (2014) •Power consumption 0.10774W •Operates at a maximum frequency of 456.73MHz •Input 100x100 px @ 40Mhz
  • 20. • A mixed quasi-parallel structure with the DSP processors as well as FPGA matrices has been seriously taken into account. • Evaluation of computational power of DSP processor chosen for eventual application in UFO system was done experimentally by application of special software tests. Investigations were arranged by means of the off-the-shelf video kit based on TMS320C6446 processor 20 Real-time Single FPGA-Based Multimodal Image Fusion System - Michał Bartyś, Barbara Putz, Adrian Antoniewicz (2012)
  • 21. Performance • Application of chosen DSP processor does not guarantee achievement of satisfactory processing times acceptable for implementation of image registering and fusion at video frame rates equal 40ms. 21
  • 22. Image Fusion Real-time System Based on FPGA and Multi-DSP - Feng Qu, Bochao Liu, Jian Zhao, Qiang Sun (2013) • In the final experiment, the image fusion of the five-band image with a frame rate of 15Hz, and a resolution of 1392 × 1040, is successfully completed in 41ms by this system. • FPGA Alter Cyclone II - EP2C70F896, and DSP TI - TMS320C6416. 22
  • 23. 23
  • 25. • Experimental Tests of Image Fusion for Night Vision - Yin Chen RS Blum (2005) LAP and SIDWT gives best performance for Night vision • Implementation of Image Fusion Techniques for Multi-Focus Images Using FPGA - MA. Mohamed and R.M EI-Den2 (2011) 25
  • 26. Conclusion • Best algorithm - Gradient pyramid, mask pyramid, FABEMD, SiDWT • Least Hardware Complexity – LAP • FPGA – low power (<1W), high throughput (25fps, 1208x1024) • High Bandwidth - Need multiple DDR connected in parallel, SRAM is bonus 26