Digitronix Nepal presented on electronics hardware design using field programmable gate arrays (FPGAs). They discussed FPGA technology, applications, opportunities, and trends globally and nationally. Engineering colleges in Nepal are incorporating FPGA courses and some have established FPGA research and development centers with support from Digitronix Nepal. National activities have included FPGA design contests and trainings to promote use of FPGAs in academic projects.
1. Electronics Hardware Design
using FPGA
Orientation, Scope Overview and Demonstration Session on
FPGA Technology
Presented by
Digitronix Nepal
www.digitronixnepal.com
facebook.com/DigitronixNepal
Who should participate?
Enthusiast of
Electronics
Computer &
Electrical
Engineering
Date/Time: Jan 21, 2019
www.LogicTronix.com
2. Contents
Digitronix Nepal: An Introduction
Electronics Hardware Design: Major Streams
FPGA: Background, FPGA Design, Why FPGA?
Hi-Tech Applications that use FPGA
Opportunities and Trend on FPGA: Global & National
Nepalese Engineering Colleges towards FPGA Technology
Academic Projects: Global & National Trend
National Activities on FPGA R& D
Digitronix Nepal’s Offerings: Internships and Job Opportunities
3. Digitronix Nepal
• We are Electronics Hardware Design Company; mainly
focused on FPGA Design Platform.
• Vision:- “Hardware based Intellectual Property-IP
development in Nepal”
• We also provide Trainings on FPGA, ARM, Raspberry PI and
Microcontrollers (AVR, PIC, Arduino).
• We provide internships on FPGA research and development
via FPGA R & D centers at IOE Pulchowk,Khwopa, Kathford,
Himalaya , KEC-Kalimati and XRLab Sagarmatha.
• We also Supports Faculties of Engineering Colleges for FPGA
based Research Projects.
For further details, please visit: www.digitronixnepal.com , Facebook.com/DigitronixNepal
4. Electronic Hardware Design: Major Streams
Embedded Product Design using Microcontroller’s
and Microprocessors (IoT to Machine Intelligence)
PCB Design, Electronic Circuit Design using EDA
tools
Integrated Circuit Design: VLSI Design and
Verification
FPGA,ASIC, SOC, SOPC Design and Verification
Design and Verification
Computer Aided intelligent Design Solutions
7. What is an FPGA?
An FPGA (Field Programmable
Gate Array) is a reprogrammable
chip which contains hundreds of
thousands of logic gates that
internally connects together to
build digital circuitry.
FPGA Global Applications by industrial
Sector (Source: Xilinx.com)
Renowned Projects in FPGA: LIGO: Gravitational Wave
detection , Satellites, SpaceX Falcon 9-Rocket, Defense
electronics, Telecom products
8. Field Programmable Gate Array (FPGA)
Dominant digital design implementation
Ability to re-configure FPGA to implement any digital logic function
Partial re-configuration allows a portion of the FPGA to be
continuously running while another portion is being re-configured
FPGAs also contain analog circuitry features including a
programmable slew rate and drive strength, differential comparators
on I/O designed to be connected to differential signaling channels.
Mixed-signal FPGAs contains ADCs and DACs with analog signal
conditional blocks allowing them to operate as a system-on-chip (SoC)
11. Different between FPGA and MCU’s
• MCU (AVR,PIC,Arduino) / MP’s(ARM,PI) vs. FPGA ?
FPGA
• User can configure with own architecture,
• FPGA consists of large Clock (MHz),
• large programmable blocks ,
• memory elements (RAM , ROM),
• can process multiple task parallel (Concurrent Processing).
• Large IO Pool
FPGA is targeted for Multiprocessing,
less nonrecurring engineering costs (NRE)
fast design period (time to market).
We can design and verify Microcontrollers in FPGA
Design Flexibility.
Microcontrollers
• MCU has less processing capacity on
the basis of memory and processes.
• It can process Single or specific
process only
• Process time is high
• DSP and algorithms cant implement
on it.
12. Electronic Hardware Design using FPGA
• FPGA Design
• IP Design and Verification
• Custom PCB Design with FPGA
Related Fields
• VLSI Design: Front End and Backend
• ASIC Design and Prototyping
• Design and Verification
14. Hardware Description Languages
Need a language to describe hardware
Also called FIRMWARE
Two popular languages are VHDL , VERILOG
• Describe Logic as collection of Processes operating in Parallel
• Compiler (Synthesis) Tools recognise certain code constructs
and generates appropriate logic.
15. library ieee;
use ieee.std_logic_1164.all;
entity test is
port(
x: in std_logic;
y: in std_logic;
G: out std_logic);
end test;
architecture behavioural of test is
begin
G <= x AND y;
end behavioural;
Must write code with
understanding of how
it will be implemented.
Example: AND Gate Design in VHDL
Let us start with an AND gate. Here is the truth table:
A B Y
0 0 0
0 1 0
1 0 0
1 1 1
16. How do you know it works?
• Simulation
z<= a AND b;
Here, input a=0, b=1
and output z=0
17. FPGA Design Flow
1. High level Description of Logic Design (HDL)
2. Simulate the Design
3. Synthesise into a Netlist
• Boolean Logic Representation
4. Implementation: Target FPGA Device
• Translate
• Mapping
• Routing
5. Bit File for FPGA
20. Why FPGA?
FPGA Engineering jobs
Research Papers , Articles , conference and
workshops presentations are highly valued by
international universities , Academic and
professional institutions.
21. Global Opportunities: Industrial
• Big Companies: Xilinx , Altera , AVNET , TCS, Synopsys
• Every Precision based projects is implemented in FPGA and ASIC.
• Big Companies have design center at Asia: Singapore, Banglore,
Japan, China etc.
• As in India (Bangalore): Xilinx, TCS , Synopsys and lots of companies
work on HDL, RTL design and in VLSI
• Some FPGA trend news
Data Source: Marketrestandmarket
22. National Scenario
• UGC, NAST ( they provide grants for research)
• NTC, NCELL , NTA (telecommunication based design and
implementation)
• Real Time Solutions* also work on FPGA
• Digitronix Nepal in FPGA :
1. FPGA R & D center Establishments
2. National Seminar and Design Competitions
3. FPGA based Trainings.
4. Internship Opportunities.
5. Job Opportunities
28. Amazon EC2 Architecture
Alibaba Cloud
Microsoft Bing
Intel Accelerator's
High Speed Real Time Video Processing with Computer Vision
Algorithms (ML/NN)
FPGS’s in Hi-Tech Applications:
32. Courses related to FPGA in Electronics,
Computer & Electrical Engineering Streams
• Digital Logic
• Computer Architecture
• Embedded System
• VLSI Design
• Advance Digital Design
• Embedded System Design
with FPGA
Pokhara University also
offers Elective on:
Embedded System
Design with FPGA
33. Nepalese Engineering Colleges towards FPGA technology
• TU-IOE,KU, Pokhara University and Purbanchal University have courses
(syllabus) including with HDL in Digital Logic, FPGA & ASIC design in
Embedded System and Computer Architecture.
• Engineering Colleges taught HDL design, simulations of Combinational and
Sequential Circuits in Xilinx ISE design suit or ModelSim in Digital Logic,
Computer Architecture and Embedded System etc.
• Most of Engineering Colleges have Xilinx Spartan 3e Series of FPGA , this
FPGA can provide access to researcher and students for basic academic
projects.
• Xilinx University Program (XUP) Center and XUP has donated FPGA
Resources for XUP Centers.
34. What most of Engineering Colleges have?: FPGA Tools
Spartan 3E FPGA, 2006 Revision
Nexys 2 FPGA
Softwares: Xilinx ISE
Tool
35. Where should
Colleges
Must Go
Zybo FPGA (SoC)
Features:
• Low Cost
• ARM Cortex A9-Dual
• 7 Series FPGA Core
• High Performance IO
Pynq (Python+Zynq)
Zynq 7000 FPGA (SoC)
Features:
• Low Cost
• ARM Cortex A9-Dual
• 7 Series FPGA Core
• High Performance IO
37. • Colleges having Zynq FPGA Boards & FPGA R& D Centres in
Nepal:
• Kathford Int’l College of Engineering & Management
• Khwopa Engineering College
• Himalaya College of Engineering
• National College of Engineering (NCE)
• Kathmandu Engineering College (KEC)
• Colleges having FPGA Resources: Legacy Boards & Tools
• Most of Engineering Colleges have such legacy tools
and FPGA Boards
41. Facilitations by Digitronix Nepal
1. FPGA Orientations (In 2015, Orientations have been
Organized at Pulchowk Campus, KEC Kalimati, Kathford, Thapathali, Himalaya,
Sagarmatha)
2. FPGA Trainings (Faculty Training and Student Trainings)
3. Assisting Embedded System, COA and
and Digital Logic Labs
4. Advanced Level Trainings on FPGA
43. Academic Projects in FPGA: Global
• Most of Renowned Universities offering B.E and M.E on
Electronics, Computer and Electrical Engineering have
projects on FPGA’s: MIT, Stanford, UC Berkeley etc.
• Cornell Universities: Prof. Bruce Land also guide/help international students
on FPGA based project through internet.
• Research Project on Graduate program of ECE might based on FPGA, so Graduate
program applicants on ECE get emphasis by their FPGA based projects of B.E.
• Universities offer Graduate Research Assistanceship on Embedded System, VLSI
Design, Computer Architecture based subjects.
• Professors and Faculties did different research projects for real time applications,
which were funded by Government and Companies.
44. Practices of Academic Projects in FPGA: National
• Last year there was 2 Major
Projects on FPGA at Nepal
Engineering College done by
Abhidan Jung Thapa-
Team[OFDM Implementation on
FPGA] and Arjun Neupane &
Sijan Awal-Team [16 bit
microprocessor design]
• Each year students of Pulchowk
Campus,NEC did Major and Minor
Projects on FPGA.
• Including Image Processor, RISC Processor,
Robotic System, 3D Mapping Systems , Digital
Signal Processor etc.
• Professors and Faculties of Engineering College also did
projects based on FPGA’s.
Data Source: University/College
• Robotics: Image
Processing, Object
Recognition, Real time
Video Processing for
Security based Robots.
• Real time Face detection
and recognition.
• RISC Processor Design &
Implementation
• Image and Video
Annotation with FPGA
45. Weblinks for FPGA based project and Sources
Some Free IP Core (FPGA Module on VHDL/Verilog) and Opensource Core sites:
1. www.opencores.org
2.http://hamsterworks.co.nz/mediawiki/index.php/FPGA_Projects
3.www.codeforge.com/s/0/MFCC-vhdl-code
4.esd.cs.ucr.edu/labs/tutorial/
5.www.fpga4student.com/
6.www.vhdlguru.blogspot.com
7.verilog-code.blogspot.com/p/verilog-codesprojects.ht…
8. http://www.utdallas.edu/~axs109221/index_files/Page4382.htm
9. http://www.utdallas.edu/~axs109221/index_files/Page2527.htm
You can Add more open core sites here in comment yourself!!!
47. Projects on PYNQ FPGA
Face Recognition and Annotation project at
Digitronix Nepal
Community Projects from:pynq.io/examples.html
48. Skill Requirement for Research Internships
• HDL Programming (VHDL or Verilog)
• Spartan 3e FPGA Implementation
• ISE Design Suit design methodology
Extra Skill need to enhanced
• Linux
• TCL Script & OpenCL based programming
• VIVADO Design Suit IP design methodology
• High Level Synthesis [C/C++]
• Knowledge of AXI, PCIe & Simulations
Most of Student get idea
from FPGA based Minor and
Major project !!!
If you have no more idea,
then you can also join with
improve your skills from
Training and
Workshop Sessions
56. Winner: Arjun Neupane, from Nepal Engineering
College
Project: “Microprocessor Design and Simulation”, awarded
a cash prize of NRs. 16,000.
1st runner-up: Kala Raut, Shweta Chaudhary, Icchcha
Rauniyar. Project: Traffic Light Design with FPGA
2nd runner-up: Suvash Pandey, Thapathali Campus
Project: Vehicle number Plate Detection with PYNQ FPGA
57.
58. Trainings in FPGA
Embedded System Design with Zynq FPGA Training at Khwopa College of Engineering
Conducted Trainings:
1. For Lecturer:
i. Kantipur Engineering
College
ii. Khwopa College of
Engineering
2. For Students:
i. Himalaya College of
Engineering
ii. Kathford Int’l College of
Engg. & Management
iii. Khwopa College of
Engineering
iv. Kathmandu Engineering
College
61. Ongoing Projects at Digitronix Nepal
• ADC Design and Implementation on FPGA
• Machine Learning with Tensorflow with FPGA for Object Recognition
• Video Processing with Zynq FPGA (ZedBoard/Zybo FPGA)
• Face Recognition with Annotation in Pynq FPGA
• FPGA Design with Zynq Ultrascale+
• Video Encryption and Decryption through wireless channel
• Reference Design and Guides on FPGA, Verilog, VHDL, Tcl
62. Possible opportunities in Nepal in FPGA
research and design
• Freelancing on FPGA Design with Upwork.com, freelancer.com and other sites.
• Working on R & D Projects
• Implementation of FPGA in Robotics, Automation, UAV’s (Drone)
Currently Digitronix Nepal is offering Internship’s on FPGA Design with Zynq,
Implementation of Computer Vision Algorithm in Pynq FPGA.
63.
64. Do you know this guys?
LOCUS, IOE Pulchowk 2018 (Feb 2-4)
GBG BizFest Jan 5, 2019
Sir here is my tentative Presentation Slide for tomorrow.However i am customizing it for Students (why this is necessary for Graduate programs in best universities) and Questions provided by you today.please find the attachment!
How to generate money, some how vision sharing
Entry from university to professional company