SlideShare a Scribd company logo
1 of 73
IC TECHNOLOGY
CHEMICAL VAPOUR
DEPOSITION AND EPITAXIAL
LAYER
GROWTH
By:
Kritica Sharma
Assistant Professor (ECE)
CONTENTS
2
 CVD for deposition of dielectric and polysilicon thick Layer – a
simple CVD system
 Chemical equilibrium and the law of mass action
 Introduction to atmospheric CVD of dielectric
 low pressure CVD of dielectric semiconductor.
 Epitaxy
 Vapour Phase Expitaxy
 Defects in Epitaxial growth
 Metal Organic Chemical Vapor Deposition
 Molecular beam epitaxy.
CHEMICAL VAPOUR DEPOSITION
 Chemical Vapour Deposition (CVD) is a chemical process
used to produce high purity, high performance solid materials.
 In a typical CVD process, the substrate is exposed to one or
more volatile precursors which react and decompose on the
substrate surface to produce the desired deposit.
 During this process, volatile by-products are also produced,
which are removed by gas flow through the reaction chamber.
STEPS INVOLVED IN CHEMICAL
VAPOUR DEPOSITION
Transport of
reactants by
forced
convection to
the
deposition
region
Transport of
reactants by
diffusion from
the main gas
stream to the
substrate
surface.
Adsorption
of reactants
in the wafer
(substrate)
surface.
Chemical
decomposition
and other
surface
reactions take
place.
Desorption
of by-
products
from the
surface
Transport of
by-products
by diffusion
Transport of
by-products by
forced
convection
away from the
deposition
region.
STEPS INVOLVED IN A CVD PROCESS
(SCHEMATIC)
SCHEMATIC DIAGRAM - THE
STEPS INVOLVED IN CVD
1. Transport of reactants by forced convection to the deposition region.
2. Transport of reactants by diffusion from the main gas stream through the boundary
layer to the wafer surface
3. Adsorption of reactants on the wafer surface.
4. Surface processes, including chemical decomposition or reaction, surface
migration to attachment sites (such as atomic-level ledges and kinks), site
incorporation, and other surface reactions.
5. Desorption of byproducts from the surface.
6. Transport of byproducts by diffusion through the boundary layer and back to the
main gas stream.
7. Transport of byproducts by forced convection away from the deposition region.
STEPS INVOLVED IN A CVD PROCESS
(SCHEMATIC)
STEPS INVOLVED IN A CVD PROCESS
(SCHEMATIC)
STEPS INVOLVED IN A CVD PROCESS
(LIMITING PROCESSES)
1. Gas phase process (mainly diffusion to substrate).
2. Surface process (mainly reaction)
CVD KINETIC GROWTH MODEL
We approximate the flux Fl by the linear
formula
F1 = hG(CG –CS)
where CG and CS are the concentrations of
the SiCI4 (molecules per cubic centimeter) in
the bulk of the gas and at the surface,
respectively, and hG is the gas-phase mass-
transfer coefficient.
The flux consumed by the chemical-reaction taking place at the surface of
the growing film F2 is approximated by the formula
F2 = kSCS
where kS is the chemical surface-reaction rate constant.
In steady state F1 = F2 = F. Using this condition, we get
GS
G
S
hk
C
C
/1

CVD KINETIC GROWTH MODEL-II
We can now express the growth rate of the silicon film by writing
where N1 is the number of silicon atoms incorporated into a unit volume of
the film. Its value for silicon is 5.01022 cm-3. Noting that CG = YCT where CT
is the total number of molecules per cubic centimeter in the gas, we get the
expression for the growth rate,
11 N
C
hk
hk
N
F
v G
GS
GS


Y
N
C
hk
hk
N
F
v T
GS
GS
11 

The growth rate at a given mole fraction is determined by the smaller of hG
or kS. In the limiting cases the growth rate will be given either by
[surface-reaction control]
or by
[mass-transfer control].
Yk
N
C
v S
T
1

Yk
N
C
v S
T
1

CVD GROWTH MODEL – GAS PHASE
MASS TRANSFER
The “Stagnant-film” model of
gas-phase mass-transfer

SG
G
CC
DF

1

G
G
D
h 
Boundary layer theory: δ increases with
distance in the direction of gas flow (from
Newton’s second low).
DG – diffusivity of reactant species
 - boundary layer thickness
CVD GROWTH MODEL – GAS PHASE
MASS TRANSFER
The flow of reactants F is F  DG -1
TYPES OF CVD
 CVD’s are classified into two types on the basis of Operating
Pressure.
1. Atmospheric Pressure CVD
2. Low Pressure CVD
 Plasma Enhanced CVD
 Photochemical Vapour Deposition
 Thermal CVD
CASE 1 : HIGH TEMPERATURE
This process is used to deposit Silicon and compound
films or hard metallurgical coatings like Titanium
Carbide and Titanium Nitride.
CASE 2 : LOW TEMPERATURE
Many insulating film layers such as Silicon dioxide need
to be deposited at low temperatures for effective
deposition.
ATMOSPHERIC PRESSURE
CHEMICAL VAPOUR DEPOSITION
 Aluminium oxide films are deposited by this method from
aluminium trichloride, argon and oxygen gas mixtures at
temperatures ranging from 800-1000 degree Celsius
 The films have low chlorine content, which continue to
decrease with increasing temperature.
 Analysis of the film growth rate on the substrates revealed
that, the growth takes place only by diffusion from 800 to 950
degree Celsius and only by gas phase reaction at 1000
degree Celsius.
CONTINUED..
CONVEYOR BELT - APCVD
 Film thickness uniformity cannot be maintained.
 Large number of pinhole defects can occur.
 Wafer (Substrate) throughput is low due to low deposition
rate.
 The deposits get contaminated very easily since it takes place
at atmospheric pressure.
 Maintaining stochiometry is extremely difficult.
LIMITATIONS OF APCVD
 The deposition of Silicon carbide thin film is performed using
low pressure CVD of Dichlorosilane / Acetylene / Hydrogen
reaction system.
 The Silicon carbide film deposited at three different
temperatures has three different properties.
LOW PRESSURE CVD
1023 K AMORPHOUS
1073 K MICROCRYSTALLINE
1173 K PREFERENTIALLY
ORIENTED
 This technique permits either horizontal or vertical loading of
the wafers into the furnace and accommodates a large
number of wafers for processing.
 The process results in the deposition of compounds with
excellent purity and uniformity.
 However the technique requires higher temperatures and the
deposition rate is low.
CONTINUED..
 Plasma-enhanced chemical vapor deposition (PECVD) is a
process used to deposit thin films from a gas state (vapor) to a
solid state on a substrate.
 Chemical reactions are involved in the process, which occur
after creation of a plasma of the reacting gases.
 The plasma is generally created by RF (AC) frequency or DC
discharge between two electrodes, the space between which
is filled with the reacting gases.
 The helping hand of the Plasma helps in increasing the film
quality at low temperature and pressure.
PLASMA ENHANCED CVD
 PECVD uses electrical energy which is transferred to the gas
mixture.
 This transforms the gas mixture into reactive radicals, ions,
neutral atoms and molecules, and other highly excited
species.
 These atomic and molecular fragments interact with a
substrate and, depending on the nature of these interactions,
either etching or deposition processes occur at the substrate.
 Some of the desirable properties of PECVD films are good
adhesion, low pinhole density and uniformity.
CONTINUED..
SCHEMATIC DIAGRAM OF PECVD
 REINBERG TYPE REACTOR (DIRECT):
 Reactants, by-products, substrates and plasma are in the
same space.
 Capacitive-coupled Radio Frequency plasma.
 Rotating substrates are present.
 DOWNSTREAM REACTOR (INDIRECT):
 Plasma is generated in a separate chamber and is pumped
into the deposition chamber.
 Allows better control of purity and film quality when compared
to the Direct type.
REACTORS USED IN PECVD
 Al thin films are deposited via photochemical vapour
deposition on catalytic layers of Ti, TiO2, and Pd, using
dimethyl aluminum hydride.
 Deposition is carried out at low gas pressures to induce a
surface reaction based on adsorption and subsequent
decomposition of adsorbates.
 Of these three layers Ti is so effective as a catalyst that the Al
films are thermally deposited even at a low substrate
temperature of 60°C with a growth rate of 0.5 nm/min.
PHOTOCHEMICAL VAPOUR
DEPOSITION
 The UV light generated by a deuterium lamp helped increase
the growth rates. On the other hand, Al could be deposited on
TiO2 layers only under irradiation at a substrate temperature of
120°C It takes several minutes to cover the TiO2 surface with
Al and initiate the Al film's growth.
 Here, the UV light inhibited the Al growth on the surface,
whereas the films are deposited thermally.
 X-ray photoelectron spectroscopy showed the formation of a
photolytic production of the adsorbate, which acts presumably
as a center that inhibits further Al growth.
CONTINUED..
 In thermal CVD process, temperatures as high as 2000
degree Celsius is needed to deposit the compounds.
 There are two basic types of reactors for thermal CVD.
1. Hot wall reactor
2. Cold wall reactor
A hot wall reactor is an isothermal surface into which
the substrates are placed. Since the whole chamber is
heated, precise temperature control can be achieved
by designing the furnace accordingly.
THERMAL CVD
 A disadvantage of the hot wall configuration is that deposition
occurs on the walls of the chamber as well as on the
substrate.
 As a consequence, hot wall reactors must be frequently
cleaned in order to reduce contamination of substrates.
 In a cold wall reactor, only the substrate is heated.
 The deposition takes place on the area of the highest
temperature, since CVD reactions are generally endothermic.
CONTINUED..
 The deposition is only on the substrate in cold wall reactors,
and therefore contamination of particles is reduced
considerably.
 However, hot wall reactors have higher throughput since the
designs can easily accommodate multiple wafer (substrate)
configurations.
CONTINUED..
 Variable shaped surfaces, given reasonable access to the
coating powders or gases, such as screw threads, blind holes
or channels or recesses, can be coated evenly without build-
up on edges.
 Versatile –any element or compound can be deposited.
 High Purity can be obtained.
 High Density – nearly 100% of theoretical value.
 Material Formation well below the melting point
 Economical in production, since many parts can be coated at
the same time.
ADVANTAGES OF CHEMICAL VAPOUR
DEPOSITION
 CVD has applications across a wide range of industries such
as:
 Coatings – Coatings for a variety of applications such as
wear resistance, corrosion resistance, high temperature
protection, erosion protection and combinations thereof.
 Semiconductors and related devices – Integrated circuits,
sensors and optoelectronic devices
 Dense structural parts – CVD can be used to produce
components that are difficult or uneconomical to produce
using conventional fabrication techniques. Dense parts
produced via CVD are generally thin walled and maybe
deposited onto a mandrel or former.
APPLICATIONS OF CHEMICAL VAPOUR
DEPOSITION
 Optical Fibres – For telecommunications.
 Composites – Preforms can be infiltrated using CVD
techniques to produce ceramic matrix composites such as
carbon-carbon, carbon-silicon carbide and silicon carbide-
silicon carbide composites. This process is sometimes called
chemical vapour infiltration or CVI.
 Powder production – Production of novel powders and fibres
 Catalysts
 Nanomachines
CONTINUED..
 Epitaxy: “arranged upon”
Definition:
 Epitaxy means the growth of a single crystal film on top of a
crystalline substrate.
 For most thin film applications (hard and soft coatings, optical
coatings, protective coatings) it is of little importance.
 However, for semiconductor thin film technology it is crucial
EPITAXY
Why Silicon dominates?
Abundant, cheap
Silicon dioxide is very stable, strong
dielectric and it is easy to grow on thermal
process.
Wider band gap, wide operation temperature
Unit cell of single crystal silicon
Si
Si
Si
Name Silicon Bond length in single
Crystal Si
2.352 Ao
Symbol Si Density of solid 2.33 gm/cm3
Atomic Number 14 Molar Volume 12.06 cm3
Atomic Weight 28.0855 Velocity of sound 2200 m/s
Discoverer Jons Jacob Berzelius Electrical resistivity 1000,000 µΩcm
Discovered at Sweden Reflectivity 28%
Discovery Year 1824 Melting point 1414oC
Origin of name From Latin Word
“silices”
Boiling Point 2900oC
Si
Si
Why Si Epitaxy?
To enhance the performance of discrete bipolar transistor.
To improve the performance of dynamic random access memory
devices (RAMs).
Advantages of epitaxial wafers over bulk wafers Offers
•means of controlling the doping profile Epitaxial
•layers are generally oxygen and carbon free
Lattice matching in Epitaxial
Growth
Lattice structure and lattice constant must match for two
materials eg. GaAs and AlAs both have zincblendestructure
1.43e
V
In .53Ga.47As
0.36e
V
5.65 6.06
Gases used in
Silane (SiH4) Pyrolysis :
Dichlorosilane (DCS)
Tricholorosilane (TCS)
Silicon tetrachloride
Disilane
Silicon Epitaxy
a)
b)
c)
d)
e)
f)
SiH4 (H2)
SiH2Cl2
SiHCl3
SiCl4
Si2H6
Si + 2H2
Dopant gases –Diborane (B2H6)
– Phosphine (PH3)
– Arsine (AsH3)
TYPES OF EPITAXY
 Homoepitaxy
– The film and the substrate are the same material.
– Often used in Si on Si growth.
– Epitaxially grown layers are purer than the substrate and can
be doped independently of it.
 Heteroepitaxy
– Film and substrate are different materials.
– Eg: AlAs on GaAs growth
– Allows for optoelectronic structures and band gap engineered
devices.
HETEROEPITAXY
 Trying to grow a layer of a different material on top of a
substrate leads to unmatched lattice parameters.
 This will cause strained or relaxed growth and can lead to
interfacial defects.
 Such deviations from normal would lead to changes in the
electronic, optic, thermal and mechanical properties of the
films.
LATTICE STRAINS
 For many applications nearly matched lattices are desired to
minimize defects and increase electron mobility.
 As the mismatch gets larger, the film material may strain to
accommodate the lattice structure of the substrate. This is the
case during the early stages of film formation (pseudomorphic
growth) and with materials of the same lattice structure. The
Si-Ge system is an example.
 If strain accommodation is not possible then dislocation
defects at the interface may form leading to relaxed epitaxy
and the film returns to its original lattice structure above the
interface.
LATTICE MISFITS AND DEFECTS
 If the lattice mismatch is less than ~9%, the initial layers of
film will grow pseudomorphically.
 Therefore very thin films strain elastically to have the same
inter-atomic spacing as the substrate.
 As film thickness increases, the rising strain will eventually
cause a series of misfit dislocations separated by regions of
relatively good fit. As such they are equilibrium theories.
 There is a critical film thickness, dc, beyond which
dislocations are introduced.
DEFECTS GE SI FILM
 The GeSi/Si system has a large lattice misfit built in and as
such is not an equilibrium system.
 This results in a large number of dislocations with few regions
of good fit and the theory breaks down.
 Rippled surfaces and pyramidal tips are typical.
TYPES AND SOURCES OF DEFECTS
 Defects reduce electron mobility, carrier concentration and
optical efficiency.
 Current levels in Si are 1-10 defects/cm 2.
 Defects can propagate from the substrate as a screw
dislocations.
 Dopants and impurities can cause edge and point
dislocations.
 Another type of defect is the stacking faults where the
stacking order of successive layers do not follow a specific
order.
FORMATION OF MISFIT DISLOCATIONS
 They generally originate from threading dislocations at the
film-substrate interface.
 The dislocation pierces through the substrate and the film.
 As it grows, it glides and bends in a slip plane. •
 Above the critical thickness ( dc) the increasing strain allows a
break and the film dislocation separates from the originating
defect, leaving behind a stable misfit dislocation.
Types of Epitaxy
Liquid phase epitaxy
- III-V epitaxial layer GaAs
- Refreeze of laser melted silicon
Molecular beam epitaxy
- Crystalline layer grows in vacuum
- 500o C
Vapor phase epitaxy
- It is performed by chemical vapor deposition
(a)
(b)
(c)
(CVD)
- Provides excellent control of thickness, doping
and
crystallinity
- High temperature (800o C – 1100oC)
Liquid phase epitaxy
Growing crystals from
melting point .
Melting point of GaAs
a liquid solution below their
is 1238oC whereas a mixture
of GaAs with Ga metal has considerably lower
melting point
Single crystal GaAs layer can be grown from
Ga+GaAs melt.
The solution becomes richer in Ga
melting point.
and thus lower
Low temperature eliminates many problems of
impurity
introduction.
LIQUID PHASE EPITAXY
Growth of AlGaAs and GaAs layer on GaAs substrate
Wafer held on carbon slider
Moves into a pocket containing melt
Slider moves the substrate to the next chamber.
Molecular beam
Substrate is held in high vacuum
epitaxy (MBE)
10-10in the range torr
in separateComponents along with dopants, are heated
cylindrical cells.
Collimated beams of these escape into
directed into the surface of a substrate
the vacuum and are
Sample held at relatively low temperature (600oC for GaAs)
Conventional temperature range is 400o C to 800oC
Growth rates are in the range of 0.01 to 0.3 µm/min
Equipment
Equipment
An ultra high vacuum chamber holding heated substrate.
Furnaces holding electronic grade silicon and dopants.
Beams of these dopants & EGS directed into the heated wafer.
For attaining vacuum level in the 10–10 torr range, material
should have a low vapor pressure and low sticking
coefficient.
Silicon volatized by electron beam heating rather than by heating
in furnace.
Buffers & shutters shape and control flux.
Resistance heating generates temperature over the range of
400oC to 1100oC.
Advantages of MBE
Low temperature processing (400oC-800oC)
Precise control of doping
No chemical reactions along with high thermal velocities results
in properties rapidly changing with source
A wider choice of dopants
Mostly used dopants are Sb, Ga, Al
Vapor phase epitaxy
Crystallization from vapor phase
Better purity and crystal perfection
Offers great flexibility in the actual fabrication of devices
Epitaxial layers are generally grown on Si substrates by the
controlled deposition of Si chemical vapor containing
atoms if Si
e.g. SiCl4+ 2H2 Si + 4HCl
(for deposition as well as for etching)
Vapor Phase Epitaxy
usedFour silicon sources have
been
Silicon (Si)
Silicon tetrachloride (SiCl4)
Dichlorosilane (SiH2Cl2)
Trichlorosilane (SiHCl3)
Silane (SiH4)
Four species in a reaction
for growingepitaxial
SiCl4 (gas) + 2H2 (gas) Si (solid) + 4HCl
(gas)at 1200o C were detected
Concentration of species at
different
positions along a horizontal
reactor
Overall reaction in VPE
SiCl4 concentration decreases while the other
three constituents (SiHCl3, SiH2Cl2, HCl)
increase
SiCl4 + H2
SiHCl3 + H2
SiH2Cl2 + H2
SiHCl3
SiCl2 + H2
SiHCl3 + HCl ……….. (1)
SiH2Cl2 + HCl……….. (2)
SiCl2 + H2 …………(3)
SiCl2 + HCl …………. (4)
Si + 2HCl ……………(5)
Equipment
Weight 2000 Kg
Occupy 2m2 or more of floor
space.
Quartz reaction chamber with
susceptors
Graphite susceptors for
physical support
A coating of silicon carbide
(50 to
500 µm) applied by CVD
process on susceptors.
Rf heating coil or tungsten
halogen lamps.
Radiant heating
Water cooling
A radiant barrel reactor
Three basic reactor configurations
VPE process
Hydrogen gas purges of air from the reactor .
Reactor is heated to a temperature.
After thermal equilibrium, an HCl etch takes place at
1150oC and 1200oC for 3 minutes nominally.
Temperature is reduced to growth temperature.
Silicon source and dopant flows are turned on.
After growth, temperature is reduced by shutting off power.
Hydrogen flow replaced by nitrogen flow.
Depending on wafer diameter and reactor type, 10 to 50 wafer
per batch can be formed.
Process cycle times are about one hour .
Doping
Inentional addition of impurities or dopants to the crystal to
change its electronic properties (varying conductivity of
semiconductors)
Doping of 1014 to 1017 atom/cm3
Typically hydrides of atoms are used as the source of
dopants eg. PH3, AsH3 or B2H6 for controlled doping
2AsH3 (gas) 2As (solid) + 3H2 (gas)
2As (solid) 2As+ (solid) + 2e-
Doping: Schematic representation of
arsine doping and growth processes
2AsH3 (gas) 2As
2As
(solid) + 3H2 (gas)
2As+ (solid) + 2e–(solid)
Doping: Impurity concentration
Interaction between doping process & growth process
Growth rate influences the amount of dopant incorporated in Si
Equilibrium established at low growth rates.
Autodoping
Outdiffusion from heavily doped substrate
Impurity incorporation from dopant in gas
phase
Autodoping limits the minimum layer
thickness
Generalized doping profile of an epitaxial layer detailing
various regions of autodoping
Minimizing Autodoping
• Fast growth to minimize outdiffusion.
• Low temperature deposition reduces boron
autodoping (not As however).
• Seal backside of substrate with
polyoxide.
• Avoid the use of HCl etching.
• Reduced pressure epitaxy.
highly doped
Silicon on insulators
• Fabrication of devices in small islands of silicon on an
insulating substrate eg. Silicon on Sapphire (Al2O3)
• Substrates have the appropriate thermal expansion match
to silicon.
• Epitaxial films grown by CVD (eg. Pyrolysis of silane)
• Junction capacitance is reduced thus improve the high
frequency operation of circuits
Silicon on sapphire
SiH4 Si + 2H2 (low temperature)
• Temperature 1000o C – 1050oC
• Growth rate 0.5 µm/min
• Film thickness 1 µm or less
• Doping range 1014 1016 atoms/cm3to
• High defect density permits only majority carrier devices
• Carrier mobility is reduced.
Buried layer
The higher collector series resistance of an integrated
transistor can be easily reduced by a process known
as “buried layer”
•
Silicon on sapphire devices
Ultraviolet Silicon Detector
APPLICATIONS
The driving force today is the fabrication of advanced electronic
and optoelectronic devices.
 Transistors (HEMT,HBT):
 Microwave devices (IMPATT)
 Optoelectronic devices (MQW) laser
THANK YOU

More Related Content

What's hot

Epitaxy techniques
Epitaxy techniquesEpitaxy techniques
Epitaxy techniquescherukurir
 
Chemical vapor deposition and its types 120589
Chemical vapor deposition and its types 120589Chemical vapor deposition and its types 120589
Chemical vapor deposition and its types 120589Adnan Majeed
 
Low Pressure Chemical Vapour Deposition
Low Pressure Chemical Vapour DepositionLow Pressure Chemical Vapour Deposition
Low Pressure Chemical Vapour DepositionSudhanshu Janwadkar
 
Thin_Film_Technology_introduction[1]
Thin_Film_Technology_introduction[1]Thin_Film_Technology_introduction[1]
Thin_Film_Technology_introduction[1]Milan Van Bree
 
Electrodeposited Ni- Based nano composites
Electrodeposited Ni- Based nano compositesElectrodeposited Ni- Based nano composites
Electrodeposited Ni- Based nano compositeskumarbhaskar786
 
Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.Tapan Patel
 
Thin film fabrication using thermal evaporation
Thin film fabrication using thermal evaporationThin film fabrication using thermal evaporation
Thin film fabrication using thermal evaporationUdhayasuriyan V
 
Chemical vapour deposition
Chemical vapour depositionChemical vapour deposition
Chemical vapour depositionSethu Ram
 
why and how thin films
why and how thin filmswhy and how thin films
why and how thin filmssumit__kumar
 
Molecular Beam Epitaxy-MBE---ABU SYED KUET
Molecular Beam Epitaxy-MBE---ABU SYED KUETMolecular Beam Epitaxy-MBE---ABU SYED KUET
Molecular Beam Epitaxy-MBE---ABU SYED KUETA. S. M. Jannatul Islam
 
Thin film deposition using spray pyrolysis
Thin film deposition using spray pyrolysisThin film deposition using spray pyrolysis
Thin film deposition using spray pyrolysisMUHAMMAD AADIL
 
METAL ORGANIC CHEMICAL VAPOR DEPOSITION- MOCVD--ABU SYED KUET
METAL ORGANIC CHEMICAL VAPOR DEPOSITION- MOCVD--ABU SYED KUETMETAL ORGANIC CHEMICAL VAPOR DEPOSITION- MOCVD--ABU SYED KUET
METAL ORGANIC CHEMICAL VAPOR DEPOSITION- MOCVD--ABU SYED KUETA. S. M. Jannatul Islam
 
Chemical vapor deposition (cvd)
Chemical vapor deposition (cvd)Chemical vapor deposition (cvd)
Chemical vapor deposition (cvd)Khalid Raza
 
Chemical Vapour Deposition
Chemical Vapour DepositionChemical Vapour Deposition
Chemical Vapour DepositionViji Vijitha
 

What's hot (20)

Epitaxy techniques
Epitaxy techniquesEpitaxy techniques
Epitaxy techniques
 
Chemical vapor deposition and its types 120589
Chemical vapor deposition and its types 120589Chemical vapor deposition and its types 120589
Chemical vapor deposition and its types 120589
 
Low Pressure Chemical Vapour Deposition
Low Pressure Chemical Vapour DepositionLow Pressure Chemical Vapour Deposition
Low Pressure Chemical Vapour Deposition
 
Epitaxial growth - Fabrication
Epitaxial growth - FabricationEpitaxial growth - Fabrication
Epitaxial growth - Fabrication
 
Molecular beam epitaxy
Molecular beam epitaxyMolecular beam epitaxy
Molecular beam epitaxy
 
Thin_Film_Technology_introduction[1]
Thin_Film_Technology_introduction[1]Thin_Film_Technology_introduction[1]
Thin_Film_Technology_introduction[1]
 
Electrodeposited Ni- Based nano composites
Electrodeposited Ni- Based nano compositesElectrodeposited Ni- Based nano composites
Electrodeposited Ni- Based nano composites
 
Thin films
Thin filmsThin films
Thin films
 
Epitaxy
EpitaxyEpitaxy
Epitaxy
 
Epitaxial Growth
Epitaxial GrowthEpitaxial Growth
Epitaxial Growth
 
Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.
 
Thin film fabrication using thermal evaporation
Thin film fabrication using thermal evaporationThin film fabrication using thermal evaporation
Thin film fabrication using thermal evaporation
 
Preparation of thin films
Preparation of thin filmsPreparation of thin films
Preparation of thin films
 
Chemical vapour deposition
Chemical vapour depositionChemical vapour deposition
Chemical vapour deposition
 
why and how thin films
why and how thin filmswhy and how thin films
why and how thin films
 
Molecular Beam Epitaxy-MBE---ABU SYED KUET
Molecular Beam Epitaxy-MBE---ABU SYED KUETMolecular Beam Epitaxy-MBE---ABU SYED KUET
Molecular Beam Epitaxy-MBE---ABU SYED KUET
 
Thin film deposition using spray pyrolysis
Thin film deposition using spray pyrolysisThin film deposition using spray pyrolysis
Thin film deposition using spray pyrolysis
 
METAL ORGANIC CHEMICAL VAPOR DEPOSITION- MOCVD--ABU SYED KUET
METAL ORGANIC CHEMICAL VAPOR DEPOSITION- MOCVD--ABU SYED KUETMETAL ORGANIC CHEMICAL VAPOR DEPOSITION- MOCVD--ABU SYED KUET
METAL ORGANIC CHEMICAL VAPOR DEPOSITION- MOCVD--ABU SYED KUET
 
Chemical vapor deposition (cvd)
Chemical vapor deposition (cvd)Chemical vapor deposition (cvd)
Chemical vapor deposition (cvd)
 
Chemical Vapour Deposition
Chemical Vapour DepositionChemical Vapour Deposition
Chemical Vapour Deposition
 

Viewers also liked

Cvd & pvd by shreya
Cvd & pvd by shreyaCvd & pvd by shreya
Cvd & pvd by shreyaShreya Modi
 
Molecular Beam Epitaxy (MBE)
Molecular Beam Epitaxy (MBE)Molecular Beam Epitaxy (MBE)
Molecular Beam Epitaxy (MBE)AJAL A J
 
Introduction to thin film growth and molecular beam epitaxy
Introduction to thin film growth and molecular beam epitaxyIntroduction to thin film growth and molecular beam epitaxy
Introduction to thin film growth and molecular beam epitaxyOleg Maksimov
 
CVD AND PVD THIN FILM TECHNIQUES
CVD AND PVD THIN FILM TECHNIQUESCVD AND PVD THIN FILM TECHNIQUES
CVD AND PVD THIN FILM TECHNIQUESHHV SOLAR Pvt Ltd
 
Ee518 epitaxial dep.s07
Ee518 epitaxial dep.s07Ee518 epitaxial dep.s07
Ee518 epitaxial dep.s07mehmedkoc
 
Molecular Beam Epitaxy
Molecular Beam EpitaxyMolecular Beam Epitaxy
Molecular Beam EpitaxyDeepak Rajput
 
Atomic Layer Deposition: a process technology for transparent conducting oxides
Atomic Layer Deposition: a process technology for transparent conducting oxidesAtomic Layer Deposition: a process technology for transparent conducting oxides
Atomic Layer Deposition: a process technology for transparent conducting oxidescdtpv
 
Part III. Metal-Organic Chemical Vapor Deposition
Part III. Metal-Organic Chemical Vapor DepositionPart III. Metal-Organic Chemical Vapor Deposition
Part III. Metal-Organic Chemical Vapor DepositionAnthony Liu
 
Ic technology- diffusion and ion implantation
Ic technology- diffusion and ion implantationIc technology- diffusion and ion implantation
Ic technology- diffusion and ion implantationkriticka sharma
 
ANALYSIS OF POSSIBILITY OF GROWTH OF SEVERAL EPITAXIAL LAYERS SIMULTANEOUSLY ...
ANALYSIS OF POSSIBILITY OF GROWTH OF SEVERAL EPITAXIAL LAYERS SIMULTANEOUSLY ...ANALYSIS OF POSSIBILITY OF GROWTH OF SEVERAL EPITAXIAL LAYERS SIMULTANEOUSLY ...
ANALYSIS OF POSSIBILITY OF GROWTH OF SEVERAL EPITAXIAL LAYERS SIMULTANEOUSLY ...ijoejournal
 
Ee518 Epitaxial Dep.S07
Ee518 Epitaxial Dep.S07Ee518 Epitaxial Dep.S07
Ee518 Epitaxial Dep.S07guestda8318
 
Thin Film Semiconductor Deposition Market, Size, Share 2022
Thin Film Semiconductor Deposition Market, Size, Share 2022Thin Film Semiconductor Deposition Market, Size, Share 2022
Thin Film Semiconductor Deposition Market, Size, Share 2022Radhika Patil
 
RISK MANAGEMENT OF NANOMATERIALS
RISK MANAGEMENT OF NANOMATERIALS RISK MANAGEMENT OF NANOMATERIALS
RISK MANAGEMENT OF NANOMATERIALS Oeko-Institut
 

Viewers also liked (16)

Cvd & pvd by shreya
Cvd & pvd by shreyaCvd & pvd by shreya
Cvd & pvd by shreya
 
Molecular Beam Epitaxy (MBE)
Molecular Beam Epitaxy (MBE)Molecular Beam Epitaxy (MBE)
Molecular Beam Epitaxy (MBE)
 
Molecular beam epitaxy
Molecular beam epitaxy Molecular beam epitaxy
Molecular beam epitaxy
 
Physical vapor deposition
Physical vapor depositionPhysical vapor deposition
Physical vapor deposition
 
Introduction to thin film growth and molecular beam epitaxy
Introduction to thin film growth and molecular beam epitaxyIntroduction to thin film growth and molecular beam epitaxy
Introduction to thin film growth and molecular beam epitaxy
 
CVD AND PVD THIN FILM TECHNIQUES
CVD AND PVD THIN FILM TECHNIQUESCVD AND PVD THIN FILM TECHNIQUES
CVD AND PVD THIN FILM TECHNIQUES
 
Ee518 epitaxial dep.s07
Ee518 epitaxial dep.s07Ee518 epitaxial dep.s07
Ee518 epitaxial dep.s07
 
Molecular Beam Epitaxy
Molecular Beam EpitaxyMolecular Beam Epitaxy
Molecular Beam Epitaxy
 
Atomic Layer Deposition: a process technology for transparent conducting oxides
Atomic Layer Deposition: a process technology for transparent conducting oxidesAtomic Layer Deposition: a process technology for transparent conducting oxides
Atomic Layer Deposition: a process technology for transparent conducting oxides
 
Part III. Metal-Organic Chemical Vapor Deposition
Part III. Metal-Organic Chemical Vapor DepositionPart III. Metal-Organic Chemical Vapor Deposition
Part III. Metal-Organic Chemical Vapor Deposition
 
Ic technology- diffusion and ion implantation
Ic technology- diffusion and ion implantationIc technology- diffusion and ion implantation
Ic technology- diffusion and ion implantation
 
ANALYSIS OF POSSIBILITY OF GROWTH OF SEVERAL EPITAXIAL LAYERS SIMULTANEOUSLY ...
ANALYSIS OF POSSIBILITY OF GROWTH OF SEVERAL EPITAXIAL LAYERS SIMULTANEOUSLY ...ANALYSIS OF POSSIBILITY OF GROWTH OF SEVERAL EPITAXIAL LAYERS SIMULTANEOUSLY ...
ANALYSIS OF POSSIBILITY OF GROWTH OF SEVERAL EPITAXIAL LAYERS SIMULTANEOUSLY ...
 
Slide Share 2
Slide Share 2Slide Share 2
Slide Share 2
 
Ee518 Epitaxial Dep.S07
Ee518 Epitaxial Dep.S07Ee518 Epitaxial Dep.S07
Ee518 Epitaxial Dep.S07
 
Thin Film Semiconductor Deposition Market, Size, Share 2022
Thin Film Semiconductor Deposition Market, Size, Share 2022Thin Film Semiconductor Deposition Market, Size, Share 2022
Thin Film Semiconductor Deposition Market, Size, Share 2022
 
RISK MANAGEMENT OF NANOMATERIALS
RISK MANAGEMENT OF NANOMATERIALS RISK MANAGEMENT OF NANOMATERIALS
RISK MANAGEMENT OF NANOMATERIALS
 

Similar to Ic technology- chemical vapour deposition and epitaxial layer growth

Similar to Ic technology- chemical vapour deposition and epitaxial layer growth (20)

chemicalvapourdeposition-120430095030-phpapp02.pptx
chemicalvapourdeposition-120430095030-phpapp02.pptxchemicalvapourdeposition-120430095030-phpapp02.pptx
chemicalvapourdeposition-120430095030-phpapp02.pptx
 
Chemical Vapour Deposition (CVD).pdf
Chemical Vapour Deposition (CVD).pdfChemical Vapour Deposition (CVD).pdf
Chemical Vapour Deposition (CVD).pdf
 
Chemical Vapour Deposition
Chemical Vapour DepositionChemical Vapour Deposition
Chemical Vapour Deposition
 
Ed_ppt .pdf
Ed_ppt .pdfEd_ppt .pdf
Ed_ppt .pdf
 
Cvd & pvd by shreya
Cvd & pvd by shreyaCvd & pvd by shreya
Cvd & pvd by shreya
 
Cvd
CvdCvd
Cvd
 
6_2020_04_11!06_48_32_AM.pptx
6_2020_04_11!06_48_32_AM.pptx6_2020_04_11!06_48_32_AM.pptx
6_2020_04_11!06_48_32_AM.pptx
 
Nano materials
Nano materialsNano materials
Nano materials
 
PVD,CVD,AFM.ppt
PVD,CVD,AFM.pptPVD,CVD,AFM.ppt
PVD,CVD,AFM.ppt
 
Subrat cvd
Subrat cvdSubrat cvd
Subrat cvd
 
Chemical vapour deposition
Chemical vapour depositionChemical vapour deposition
Chemical vapour deposition
 
Fabrication process flow
Fabrication process flowFabrication process flow
Fabrication process flow
 
epitaxial growth in thin films.pdf
epitaxial growth in thin films.pdfepitaxial growth in thin films.pdf
epitaxial growth in thin films.pdf
 
CdTe-CdS thin film in Solar Cell
CdTe-CdS thin film in Solar CellCdTe-CdS thin film in Solar Cell
CdTe-CdS thin film in Solar Cell
 
Carbon capture and stoage (ccs)
Carbon capture and stoage (ccs)Carbon capture and stoage (ccs)
Carbon capture and stoage (ccs)
 
THIN FILMS.pdf
THIN FILMS.pdfTHIN FILMS.pdf
THIN FILMS.pdf
 
anti reflective coatings on the solar photo voltaic panel's
anti reflective coatings on the solar photo voltaic panel'santi reflective coatings on the solar photo voltaic panel's
anti reflective coatings on the solar photo voltaic panel's
 
By final
By finalBy final
By final
 
By final
By finalBy final
By final
 
Cvd and pvd
Cvd and pvdCvd and pvd
Cvd and pvd
 

Recently uploaded

Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
Russian Call Girls in Nagpur Grishma Call 7001035870 Meet With Nagpur Escorts
Russian Call Girls in Nagpur Grishma Call 7001035870 Meet With Nagpur EscortsRussian Call Girls in Nagpur Grishma Call 7001035870 Meet With Nagpur Escorts
Russian Call Girls in Nagpur Grishma Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...roncy bisnoi
 
Glass Ceramics: Processing and Properties
Glass Ceramics: Processing and PropertiesGlass Ceramics: Processing and Properties
Glass Ceramics: Processing and PropertiesPrabhanshu Chaturvedi
 
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptxBSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptxfenichawla
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfKamal Acharya
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...ranjana rawat
 
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...ranjana rawat
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
Online banking management system project.pdf
Online banking management system project.pdfOnline banking management system project.pdf
Online banking management system project.pdfKamal Acharya
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)simmis5
 
result management system report for college project
result management system report for college projectresult management system report for college project
result management system report for college projectTonystark477637
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingrakeshbaidya232001
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations120cr0395
 
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 

Recently uploaded (20)

Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
Russian Call Girls in Nagpur Grishma Call 7001035870 Meet With Nagpur Escorts
Russian Call Girls in Nagpur Grishma Call 7001035870 Meet With Nagpur EscortsRussian Call Girls in Nagpur Grishma Call 7001035870 Meet With Nagpur Escorts
Russian Call Girls in Nagpur Grishma Call 7001035870 Meet With Nagpur Escorts
 
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
 
Glass Ceramics: Processing and Properties
Glass Ceramics: Processing and PropertiesGlass Ceramics: Processing and Properties
Glass Ceramics: Processing and Properties
 
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptxBSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdfONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
ONLINE FOOD ORDER SYSTEM PROJECT REPORT.pdf
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
 
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
Online banking management system project.pdf
Online banking management system project.pdfOnline banking management system project.pdf
Online banking management system project.pdf
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)
 
result management system report for college project
result management system report for college projectresult management system report for college project
result management system report for college project
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writing
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
 

Ic technology- chemical vapour deposition and epitaxial layer growth

  • 1. IC TECHNOLOGY CHEMICAL VAPOUR DEPOSITION AND EPITAXIAL LAYER GROWTH By: Kritica Sharma Assistant Professor (ECE)
  • 2. CONTENTS 2  CVD for deposition of dielectric and polysilicon thick Layer – a simple CVD system  Chemical equilibrium and the law of mass action  Introduction to atmospheric CVD of dielectric  low pressure CVD of dielectric semiconductor.  Epitaxy  Vapour Phase Expitaxy  Defects in Epitaxial growth  Metal Organic Chemical Vapor Deposition  Molecular beam epitaxy.
  • 3. CHEMICAL VAPOUR DEPOSITION  Chemical Vapour Deposition (CVD) is a chemical process used to produce high purity, high performance solid materials.  In a typical CVD process, the substrate is exposed to one or more volatile precursors which react and decompose on the substrate surface to produce the desired deposit.  During this process, volatile by-products are also produced, which are removed by gas flow through the reaction chamber.
  • 4. STEPS INVOLVED IN CHEMICAL VAPOUR DEPOSITION Transport of reactants by forced convection to the deposition region Transport of reactants by diffusion from the main gas stream to the substrate surface. Adsorption of reactants in the wafer (substrate) surface. Chemical decomposition and other surface reactions take place. Desorption of by- products from the surface Transport of by-products by diffusion Transport of by-products by forced convection away from the deposition region.
  • 5. STEPS INVOLVED IN A CVD PROCESS (SCHEMATIC)
  • 6. SCHEMATIC DIAGRAM - THE STEPS INVOLVED IN CVD 1. Transport of reactants by forced convection to the deposition region. 2. Transport of reactants by diffusion from the main gas stream through the boundary layer to the wafer surface 3. Adsorption of reactants on the wafer surface. 4. Surface processes, including chemical decomposition or reaction, surface migration to attachment sites (such as atomic-level ledges and kinks), site incorporation, and other surface reactions. 5. Desorption of byproducts from the surface. 6. Transport of byproducts by diffusion through the boundary layer and back to the main gas stream. 7. Transport of byproducts by forced convection away from the deposition region.
  • 7. STEPS INVOLVED IN A CVD PROCESS (SCHEMATIC)
  • 8. STEPS INVOLVED IN A CVD PROCESS (SCHEMATIC)
  • 9. STEPS INVOLVED IN A CVD PROCESS (LIMITING PROCESSES) 1. Gas phase process (mainly diffusion to substrate). 2. Surface process (mainly reaction)
  • 10. CVD KINETIC GROWTH MODEL We approximate the flux Fl by the linear formula F1 = hG(CG –CS) where CG and CS are the concentrations of the SiCI4 (molecules per cubic centimeter) in the bulk of the gas and at the surface, respectively, and hG is the gas-phase mass- transfer coefficient. The flux consumed by the chemical-reaction taking place at the surface of the growing film F2 is approximated by the formula F2 = kSCS where kS is the chemical surface-reaction rate constant. In steady state F1 = F2 = F. Using this condition, we get GS G S hk C C /1 
  • 11. CVD KINETIC GROWTH MODEL-II We can now express the growth rate of the silicon film by writing where N1 is the number of silicon atoms incorporated into a unit volume of the film. Its value for silicon is 5.01022 cm-3. Noting that CG = YCT where CT is the total number of molecules per cubic centimeter in the gas, we get the expression for the growth rate, 11 N C hk hk N F v G GS GS   Y N C hk hk N F v T GS GS 11   The growth rate at a given mole fraction is determined by the smaller of hG or kS. In the limiting cases the growth rate will be given either by [surface-reaction control] or by [mass-transfer control]. Yk N C v S T 1  Yk N C v S T 1 
  • 12. CVD GROWTH MODEL – GAS PHASE MASS TRANSFER The “Stagnant-film” model of gas-phase mass-transfer  SG G CC DF  1  G G D h  Boundary layer theory: δ increases with distance in the direction of gas flow (from Newton’s second low). DG – diffusivity of reactant species  - boundary layer thickness
  • 13. CVD GROWTH MODEL – GAS PHASE MASS TRANSFER The flow of reactants F is F  DG -1
  • 14. TYPES OF CVD  CVD’s are classified into two types on the basis of Operating Pressure. 1. Atmospheric Pressure CVD 2. Low Pressure CVD  Plasma Enhanced CVD  Photochemical Vapour Deposition  Thermal CVD
  • 15. CASE 1 : HIGH TEMPERATURE This process is used to deposit Silicon and compound films or hard metallurgical coatings like Titanium Carbide and Titanium Nitride. CASE 2 : LOW TEMPERATURE Many insulating film layers such as Silicon dioxide need to be deposited at low temperatures for effective deposition. ATMOSPHERIC PRESSURE CHEMICAL VAPOUR DEPOSITION
  • 16.  Aluminium oxide films are deposited by this method from aluminium trichloride, argon and oxygen gas mixtures at temperatures ranging from 800-1000 degree Celsius  The films have low chlorine content, which continue to decrease with increasing temperature.  Analysis of the film growth rate on the substrates revealed that, the growth takes place only by diffusion from 800 to 950 degree Celsius and only by gas phase reaction at 1000 degree Celsius. CONTINUED..
  • 18.  Film thickness uniformity cannot be maintained.  Large number of pinhole defects can occur.  Wafer (Substrate) throughput is low due to low deposition rate.  The deposits get contaminated very easily since it takes place at atmospheric pressure.  Maintaining stochiometry is extremely difficult. LIMITATIONS OF APCVD
  • 19.  The deposition of Silicon carbide thin film is performed using low pressure CVD of Dichlorosilane / Acetylene / Hydrogen reaction system.  The Silicon carbide film deposited at three different temperatures has three different properties. LOW PRESSURE CVD 1023 K AMORPHOUS 1073 K MICROCRYSTALLINE 1173 K PREFERENTIALLY ORIENTED
  • 20.  This technique permits either horizontal or vertical loading of the wafers into the furnace and accommodates a large number of wafers for processing.  The process results in the deposition of compounds with excellent purity and uniformity.  However the technique requires higher temperatures and the deposition rate is low. CONTINUED..
  • 21.  Plasma-enhanced chemical vapor deposition (PECVD) is a process used to deposit thin films from a gas state (vapor) to a solid state on a substrate.  Chemical reactions are involved in the process, which occur after creation of a plasma of the reacting gases.  The plasma is generally created by RF (AC) frequency or DC discharge between two electrodes, the space between which is filled with the reacting gases.  The helping hand of the Plasma helps in increasing the film quality at low temperature and pressure. PLASMA ENHANCED CVD
  • 22.  PECVD uses electrical energy which is transferred to the gas mixture.  This transforms the gas mixture into reactive radicals, ions, neutral atoms and molecules, and other highly excited species.  These atomic and molecular fragments interact with a substrate and, depending on the nature of these interactions, either etching or deposition processes occur at the substrate.  Some of the desirable properties of PECVD films are good adhesion, low pinhole density and uniformity. CONTINUED..
  • 24.  REINBERG TYPE REACTOR (DIRECT):  Reactants, by-products, substrates and plasma are in the same space.  Capacitive-coupled Radio Frequency plasma.  Rotating substrates are present.  DOWNSTREAM REACTOR (INDIRECT):  Plasma is generated in a separate chamber and is pumped into the deposition chamber.  Allows better control of purity and film quality when compared to the Direct type. REACTORS USED IN PECVD
  • 25.  Al thin films are deposited via photochemical vapour deposition on catalytic layers of Ti, TiO2, and Pd, using dimethyl aluminum hydride.  Deposition is carried out at low gas pressures to induce a surface reaction based on adsorption and subsequent decomposition of adsorbates.  Of these three layers Ti is so effective as a catalyst that the Al films are thermally deposited even at a low substrate temperature of 60°C with a growth rate of 0.5 nm/min. PHOTOCHEMICAL VAPOUR DEPOSITION
  • 26.  The UV light generated by a deuterium lamp helped increase the growth rates. On the other hand, Al could be deposited on TiO2 layers only under irradiation at a substrate temperature of 120°C It takes several minutes to cover the TiO2 surface with Al and initiate the Al film's growth.  Here, the UV light inhibited the Al growth on the surface, whereas the films are deposited thermally.  X-ray photoelectron spectroscopy showed the formation of a photolytic production of the adsorbate, which acts presumably as a center that inhibits further Al growth. CONTINUED..
  • 27.  In thermal CVD process, temperatures as high as 2000 degree Celsius is needed to deposit the compounds.  There are two basic types of reactors for thermal CVD. 1. Hot wall reactor 2. Cold wall reactor A hot wall reactor is an isothermal surface into which the substrates are placed. Since the whole chamber is heated, precise temperature control can be achieved by designing the furnace accordingly. THERMAL CVD
  • 28.  A disadvantage of the hot wall configuration is that deposition occurs on the walls of the chamber as well as on the substrate.  As a consequence, hot wall reactors must be frequently cleaned in order to reduce contamination of substrates.  In a cold wall reactor, only the substrate is heated.  The deposition takes place on the area of the highest temperature, since CVD reactions are generally endothermic. CONTINUED..
  • 29.  The deposition is only on the substrate in cold wall reactors, and therefore contamination of particles is reduced considerably.  However, hot wall reactors have higher throughput since the designs can easily accommodate multiple wafer (substrate) configurations. CONTINUED..
  • 30.  Variable shaped surfaces, given reasonable access to the coating powders or gases, such as screw threads, blind holes or channels or recesses, can be coated evenly without build- up on edges.  Versatile –any element or compound can be deposited.  High Purity can be obtained.  High Density – nearly 100% of theoretical value.  Material Formation well below the melting point  Economical in production, since many parts can be coated at the same time. ADVANTAGES OF CHEMICAL VAPOUR DEPOSITION
  • 31.  CVD has applications across a wide range of industries such as:  Coatings – Coatings for a variety of applications such as wear resistance, corrosion resistance, high temperature protection, erosion protection and combinations thereof.  Semiconductors and related devices – Integrated circuits, sensors and optoelectronic devices  Dense structural parts – CVD can be used to produce components that are difficult or uneconomical to produce using conventional fabrication techniques. Dense parts produced via CVD are generally thin walled and maybe deposited onto a mandrel or former. APPLICATIONS OF CHEMICAL VAPOUR DEPOSITION
  • 32.  Optical Fibres – For telecommunications.  Composites – Preforms can be infiltrated using CVD techniques to produce ceramic matrix composites such as carbon-carbon, carbon-silicon carbide and silicon carbide- silicon carbide composites. This process is sometimes called chemical vapour infiltration or CVI.  Powder production – Production of novel powders and fibres  Catalysts  Nanomachines CONTINUED..
  • 33.
  • 34.  Epitaxy: “arranged upon” Definition:  Epitaxy means the growth of a single crystal film on top of a crystalline substrate.  For most thin film applications (hard and soft coatings, optical coatings, protective coatings) it is of little importance.  However, for semiconductor thin film technology it is crucial EPITAXY
  • 35. Why Silicon dominates? Abundant, cheap Silicon dioxide is very stable, strong dielectric and it is easy to grow on thermal process. Wider band gap, wide operation temperature Unit cell of single crystal silicon Si Si Si Name Silicon Bond length in single Crystal Si 2.352 Ao Symbol Si Density of solid 2.33 gm/cm3 Atomic Number 14 Molar Volume 12.06 cm3 Atomic Weight 28.0855 Velocity of sound 2200 m/s Discoverer Jons Jacob Berzelius Electrical resistivity 1000,000 µΩcm Discovered at Sweden Reflectivity 28% Discovery Year 1824 Melting point 1414oC Origin of name From Latin Word “silices” Boiling Point 2900oC Si Si
  • 36. Why Si Epitaxy? To enhance the performance of discrete bipolar transistor. To improve the performance of dynamic random access memory devices (RAMs). Advantages of epitaxial wafers over bulk wafers Offers •means of controlling the doping profile Epitaxial •layers are generally oxygen and carbon free
  • 37. Lattice matching in Epitaxial Growth Lattice structure and lattice constant must match for two materials eg. GaAs and AlAs both have zincblendestructure 1.43e V In .53Ga.47As 0.36e V 5.65 6.06
  • 38. Gases used in Silane (SiH4) Pyrolysis : Dichlorosilane (DCS) Tricholorosilane (TCS) Silicon tetrachloride Disilane Silicon Epitaxy a) b) c) d) e) f) SiH4 (H2) SiH2Cl2 SiHCl3 SiCl4 Si2H6 Si + 2H2 Dopant gases –Diborane (B2H6) – Phosphine (PH3) – Arsine (AsH3)
  • 39. TYPES OF EPITAXY  Homoepitaxy – The film and the substrate are the same material. – Often used in Si on Si growth. – Epitaxially grown layers are purer than the substrate and can be doped independently of it.  Heteroepitaxy – Film and substrate are different materials. – Eg: AlAs on GaAs growth – Allows for optoelectronic structures and band gap engineered devices.
  • 40. HETEROEPITAXY  Trying to grow a layer of a different material on top of a substrate leads to unmatched lattice parameters.  This will cause strained or relaxed growth and can lead to interfacial defects.  Such deviations from normal would lead to changes in the electronic, optic, thermal and mechanical properties of the films.
  • 41. LATTICE STRAINS  For many applications nearly matched lattices are desired to minimize defects and increase electron mobility.  As the mismatch gets larger, the film material may strain to accommodate the lattice structure of the substrate. This is the case during the early stages of film formation (pseudomorphic growth) and with materials of the same lattice structure. The Si-Ge system is an example.  If strain accommodation is not possible then dislocation defects at the interface may form leading to relaxed epitaxy and the film returns to its original lattice structure above the interface.
  • 42.
  • 43. LATTICE MISFITS AND DEFECTS  If the lattice mismatch is less than ~9%, the initial layers of film will grow pseudomorphically.  Therefore very thin films strain elastically to have the same inter-atomic spacing as the substrate.  As film thickness increases, the rising strain will eventually cause a series of misfit dislocations separated by regions of relatively good fit. As such they are equilibrium theories.  There is a critical film thickness, dc, beyond which dislocations are introduced.
  • 44. DEFECTS GE SI FILM  The GeSi/Si system has a large lattice misfit built in and as such is not an equilibrium system.  This results in a large number of dislocations with few regions of good fit and the theory breaks down.  Rippled surfaces and pyramidal tips are typical.
  • 45. TYPES AND SOURCES OF DEFECTS  Defects reduce electron mobility, carrier concentration and optical efficiency.  Current levels in Si are 1-10 defects/cm 2.  Defects can propagate from the substrate as a screw dislocations.  Dopants and impurities can cause edge and point dislocations.  Another type of defect is the stacking faults where the stacking order of successive layers do not follow a specific order.
  • 46. FORMATION OF MISFIT DISLOCATIONS  They generally originate from threading dislocations at the film-substrate interface.  The dislocation pierces through the substrate and the film.  As it grows, it glides and bends in a slip plane. •  Above the critical thickness ( dc) the increasing strain allows a break and the film dislocation separates from the originating defect, leaving behind a stable misfit dislocation.
  • 47. Types of Epitaxy Liquid phase epitaxy - III-V epitaxial layer GaAs - Refreeze of laser melted silicon Molecular beam epitaxy - Crystalline layer grows in vacuum - 500o C Vapor phase epitaxy - It is performed by chemical vapor deposition (a) (b) (c) (CVD) - Provides excellent control of thickness, doping and crystallinity - High temperature (800o C – 1100oC)
  • 48. Liquid phase epitaxy Growing crystals from melting point . Melting point of GaAs a liquid solution below their is 1238oC whereas a mixture of GaAs with Ga metal has considerably lower melting point Single crystal GaAs layer can be grown from Ga+GaAs melt. The solution becomes richer in Ga melting point. and thus lower Low temperature eliminates many problems of impurity introduction.
  • 49. LIQUID PHASE EPITAXY Growth of AlGaAs and GaAs layer on GaAs substrate Wafer held on carbon slider Moves into a pocket containing melt Slider moves the substrate to the next chamber.
  • 50. Molecular beam Substrate is held in high vacuum epitaxy (MBE) 10-10in the range torr in separateComponents along with dopants, are heated cylindrical cells. Collimated beams of these escape into directed into the surface of a substrate the vacuum and are Sample held at relatively low temperature (600oC for GaAs) Conventional temperature range is 400o C to 800oC Growth rates are in the range of 0.01 to 0.3 µm/min
  • 52. Equipment An ultra high vacuum chamber holding heated substrate. Furnaces holding electronic grade silicon and dopants. Beams of these dopants & EGS directed into the heated wafer. For attaining vacuum level in the 10–10 torr range, material should have a low vapor pressure and low sticking coefficient. Silicon volatized by electron beam heating rather than by heating in furnace. Buffers & shutters shape and control flux. Resistance heating generates temperature over the range of 400oC to 1100oC.
  • 53. Advantages of MBE Low temperature processing (400oC-800oC) Precise control of doping No chemical reactions along with high thermal velocities results in properties rapidly changing with source A wider choice of dopants Mostly used dopants are Sb, Ga, Al
  • 54. Vapor phase epitaxy Crystallization from vapor phase Better purity and crystal perfection Offers great flexibility in the actual fabrication of devices Epitaxial layers are generally grown on Si substrates by the controlled deposition of Si chemical vapor containing atoms if Si e.g. SiCl4+ 2H2 Si + 4HCl (for deposition as well as for etching)
  • 55. Vapor Phase Epitaxy usedFour silicon sources have been Silicon (Si) Silicon tetrachloride (SiCl4) Dichlorosilane (SiH2Cl2) Trichlorosilane (SiHCl3) Silane (SiH4) Four species in a reaction for growingepitaxial SiCl4 (gas) + 2H2 (gas) Si (solid) + 4HCl (gas)at 1200o C were detected
  • 56. Concentration of species at different positions along a horizontal reactor
  • 57. Overall reaction in VPE SiCl4 concentration decreases while the other three constituents (SiHCl3, SiH2Cl2, HCl) increase SiCl4 + H2 SiHCl3 + H2 SiH2Cl2 + H2 SiHCl3 SiCl2 + H2 SiHCl3 + HCl ……….. (1) SiH2Cl2 + HCl……….. (2) SiCl2 + H2 …………(3) SiCl2 + HCl …………. (4) Si + 2HCl ……………(5)
  • 58. Equipment Weight 2000 Kg Occupy 2m2 or more of floor space. Quartz reaction chamber with susceptors Graphite susceptors for physical support A coating of silicon carbide (50 to 500 µm) applied by CVD process on susceptors. Rf heating coil or tungsten halogen lamps. Radiant heating Water cooling
  • 59. A radiant barrel reactor
  • 60. Three basic reactor configurations
  • 61. VPE process Hydrogen gas purges of air from the reactor . Reactor is heated to a temperature. After thermal equilibrium, an HCl etch takes place at 1150oC and 1200oC for 3 minutes nominally. Temperature is reduced to growth temperature. Silicon source and dopant flows are turned on. After growth, temperature is reduced by shutting off power. Hydrogen flow replaced by nitrogen flow. Depending on wafer diameter and reactor type, 10 to 50 wafer per batch can be formed. Process cycle times are about one hour .
  • 62. Doping Inentional addition of impurities or dopants to the crystal to change its electronic properties (varying conductivity of semiconductors) Doping of 1014 to 1017 atom/cm3 Typically hydrides of atoms are used as the source of dopants eg. PH3, AsH3 or B2H6 for controlled doping 2AsH3 (gas) 2As (solid) + 3H2 (gas) 2As (solid) 2As+ (solid) + 2e-
  • 63. Doping: Schematic representation of arsine doping and growth processes 2AsH3 (gas) 2As 2As (solid) + 3H2 (gas) 2As+ (solid) + 2e–(solid)
  • 64. Doping: Impurity concentration Interaction between doping process & growth process Growth rate influences the amount of dopant incorporated in Si Equilibrium established at low growth rates.
  • 65. Autodoping Outdiffusion from heavily doped substrate Impurity incorporation from dopant in gas phase Autodoping limits the minimum layer thickness Generalized doping profile of an epitaxial layer detailing various regions of autodoping
  • 66. Minimizing Autodoping • Fast growth to minimize outdiffusion. • Low temperature deposition reduces boron autodoping (not As however). • Seal backside of substrate with polyoxide. • Avoid the use of HCl etching. • Reduced pressure epitaxy. highly doped
  • 67. Silicon on insulators • Fabrication of devices in small islands of silicon on an insulating substrate eg. Silicon on Sapphire (Al2O3) • Substrates have the appropriate thermal expansion match to silicon. • Epitaxial films grown by CVD (eg. Pyrolysis of silane) • Junction capacitance is reduced thus improve the high frequency operation of circuits
  • 68. Silicon on sapphire SiH4 Si + 2H2 (low temperature) • Temperature 1000o C – 1050oC • Growth rate 0.5 µm/min • Film thickness 1 µm or less • Doping range 1014 1016 atoms/cm3to • High defect density permits only majority carrier devices • Carrier mobility is reduced.
  • 69. Buried layer The higher collector series resistance of an integrated transistor can be easily reduced by a process known as “buried layer” •
  • 72. APPLICATIONS The driving force today is the fabrication of advanced electronic and optoelectronic devices.  Transistors (HEMT,HBT):  Microwave devices (IMPATT)  Optoelectronic devices (MQW) laser

Editor's Notes

  1. 1