SlideShare a Scribd company logo
1 of 50
Download to read offline
UNDER EMBARGO TO OCT 26, 2017, 9 AM ET
Commercial
MOBILE
THREADRIPPER
Roadmap subject to change
* Based on AMD testing as of 9/25/2017. System configuration(s): HP ENVY X360, AMD Ryzen™ 7 2700U,
2x4GB DDR4-2400, Samsung 850 Pro SSD, Windows 10 x64 1703, Graphics Driver: 17.30.1025, BIOS F11.
IN AN
ULTRATHIN
* Based on AMD testing as of 10/11/2017. Battery life targets for the AMD Ryzen™ Processor with Radeon Graphics assume a 50Wh battery, a fully power-optimized
software/hardware solution stack, and the following system configuration: AMD Reference Platform, AMD Ryzen™ 7 2700U, 2x4GB DDR4-2400, graphics driver 17.30.1025,
Windows 10 x64 (1703). VP9 battery life improvement of 4.5 hours to 9.2 hours represents 2.04. Actual battery life may vary with system configuration.
UNDER EMBARGO TO OCT 26, 2017, 9 AM ET





Multimedia
Engines
I/O and
System Hub
DDR4
Memory
Controllers
Display
Engine
Infinity Fabric
“Zen”
Core
“Zen”
Core
“Zen”
Core
“Zen”
Core
L3
Cache
“ZEN” CORE COMPLEX “VEGA” GRAPHICS
Graphics
Pipeline
Compute
Engine
Pixel
Engines
L2 Cache
* mXFR enablement must meet AMD requirements. Not enabled on all notebook designs. Check with manufacturer to confirm “amplified mXFR performance” support.












2.00
2.20
2.40
2.60
2.80
3.00
3.20
3.40
3.60
3.80




* Based on AMD internal data of an optimized AMD Ryzen™ Mobile APU reference platform as
of 9/25/2017. PC manufacturers may vary configuration yielding different results.






Represents LDO
Regulated / Power
Gating Region
CPU1CPU0
CPU2 CPU3
L3
CPU Region
“ZEN” CORE COMPLEX
“VEGA” GRAPHICS COMPLEX
GFX Compute Region
GFX Region
VDD Region
System
Voltage
Regulator
VDD Package Rail









 ↔
 ↔

0
200
400
600
800
1000
1200
0
0.5
1
1.5
2
2.5
3
3.5
Frequency Time
Core0 Eff. Freq. Core1 Eff. Freq. Core2 Eff. Freq.
Core3 Eff. Freq. GPU Eff. Freq.
* Based on AMD testing as of 10/11/2017. Clockspeed plot is a snapshot of 8 seconds of 3DMark Fire Strike.
“Effective frequency” is the product of the reported clockspeed and %time in active workload C0 C-state.
* Based on AMD internal data of an optimized AMD Ryzen™ Mobile APU reference platform as
of 9/25/2017. PC manufacturers may vary configuration yielding different results.





VDDOFF
DeeperLowPowerStates
FasterEntry/ExitLatencies
CC6
Graphics
Power
Gating
Active States, Deep
Sleep States, Clock
Gated States
Active States,
Clock Gated
States
Meet CC6
Entry Timer
Meet GFX Idle
Entry Timer
Region Power Gating
by LDO PG Headers
Latencies 100us or less
CPUOFF GFXOFF
All Cores in
CC6 and
Meet
CPUOFF
Entry Timer
Meet GFXOFF
Entry Timer
Multiple LDO Regions
Gated
Latencies 1.5ms or less
Enter if
simultaneous
CPUOFF &
GFX OFF
Input VDD
Rail Off
VDDOFF





Memory
Controller
Interface
Display
Controller
Interface
CPU
Interface
GPU
Interface
Multimedia
Hub
Interface
IO Hub
Interface
Type B PG
Region
Type A PG
Region
AON
Type B PG
Region
AON
Type A PG
Region
AON AON
Type A PG
Region
AON
AONPower Gated Region Always On Region






* Based on AMD internal data of an optimized AMD Ryzen™ Mobile APU reference platform as
of 9/25/2017. PC manufacturers may vary configuration yielding different results.
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•

More Related Content

What's hot

AMD Hot Chips Bulldozer & Bobcat Presentation
AMD Hot Chips Bulldozer & Bobcat PresentationAMD Hot Chips Bulldozer & Bobcat Presentation
AMD Hot Chips Bulldozer & Bobcat PresentationAMD
 
The Path to "Zen 2"
The Path to "Zen 2"The Path to "Zen 2"
The Path to "Zen 2"AMD
 
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUsAMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUsAMD
 
AMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD
 
Intel 8th Core G Series with Radeon Vega M
Intel 8th Core G Series with Radeon Vega M Intel 8th Core G Series with Radeon Vega M
Intel 8th Core G Series with Radeon Vega M Low Hong Chuan
 
Snapdragon 845 Benchmarking UX Workshop
Snapdragon 845 Benchmarking UX WorkshopSnapdragon 845 Benchmarking UX Workshop
Snapdragon 845 Benchmarking UX WorkshopLow Hong Chuan
 
7nm "Navi" GPU - A GPU Built For Performance
7nm "Navi" GPU - A GPU Built For Performance 7nm "Navi" GPU - A GPU Built For Performance
7nm "Navi" GPU - A GPU Built For Performance AMD
 
AMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD
 
AMD EPYC™ Microprocessor Architecture
AMD EPYC™ Microprocessor ArchitectureAMD EPYC™ Microprocessor Architecture
AMD EPYC™ Microprocessor ArchitectureAMD
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next HorizonAMD
 
AMD and the new “Zen” High Performance x86 Core at Hot Chips 28
AMD and the new “Zen” High Performance x86 Core at Hot Chips 28AMD and the new “Zen” High Performance x86 Core at Hot Chips 28
AMD and the new “Zen” High Performance x86 Core at Hot Chips 28AMD
 
GPU Compute in Medical and Print Imaging
GPU Compute in Medical and Print ImagingGPU Compute in Medical and Print Imaging
GPU Compute in Medical and Print ImagingAMD
 
Open Hardware and Future Computing
Open Hardware and Future ComputingOpen Hardware and Future Computing
Open Hardware and Future ComputingGanesan Narayanasamy
 
Accelerating Innovation from Edge to Cloud
Accelerating Innovation from Edge to CloudAccelerating Innovation from Edge to Cloud
Accelerating Innovation from Edge to CloudRebekah Rodriguez
 
AMD EPYC World Records
AMD EPYC World RecordsAMD EPYC World Records
AMD EPYC World RecordsAMD
 
Redis-SGX: Dmitrii Kuvaiskii
Redis-SGX: Dmitrii KuvaiskiiRedis-SGX: Dmitrii Kuvaiskii
Redis-SGX: Dmitrii KuvaiskiiRedis Labs
 

What's hot (20)

AMD Hot Chips Bulldozer & Bobcat Presentation
AMD Hot Chips Bulldozer & Bobcat PresentationAMD Hot Chips Bulldozer & Bobcat Presentation
AMD Hot Chips Bulldozer & Bobcat Presentation
 
The Path to "Zen 2"
The Path to "Zen 2"The Path to "Zen 2"
The Path to "Zen 2"
 
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUsAMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
 
AMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD EPYC 7002 World Records
AMD EPYC 7002 World Records
 
Intel 8th Core G Series with Radeon Vega M
Intel 8th Core G Series with Radeon Vega M Intel 8th Core G Series with Radeon Vega M
Intel 8th Core G Series with Radeon Vega M
 
Snapdragon 845 Benchmarking UX Workshop
Snapdragon 845 Benchmarking UX WorkshopSnapdragon 845 Benchmarking UX Workshop
Snapdragon 845 Benchmarking UX Workshop
 
7nm "Navi" GPU - A GPU Built For Performance
7nm "Navi" GPU - A GPU Built For Performance 7nm "Navi" GPU - A GPU Built For Performance
7nm "Navi" GPU - A GPU Built For Performance
 
AMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD EPYC 7002 World Records
AMD EPYC 7002 World Records
 
AMD EPYC™ Microprocessor Architecture
AMD EPYC™ Microprocessor ArchitectureAMD EPYC™ Microprocessor Architecture
AMD EPYC™ Microprocessor Architecture
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next Horizon
 
AMD and the new “Zen” High Performance x86 Core at Hot Chips 28
AMD and the new “Zen” High Performance x86 Core at Hot Chips 28AMD and the new “Zen” High Performance x86 Core at Hot Chips 28
AMD and the new “Zen” High Performance x86 Core at Hot Chips 28
 
SDC Server Sao Jose
SDC Server Sao JoseSDC Server Sao Jose
SDC Server Sao Jose
 
GPU Compute in Medical and Print Imaging
GPU Compute in Medical and Print ImagingGPU Compute in Medical and Print Imaging
GPU Compute in Medical and Print Imaging
 
Radeon RX Vega
Radeon RX Vega Radeon RX Vega
Radeon RX Vega
 
Open Hardware and Future Computing
Open Hardware and Future ComputingOpen Hardware and Future Computing
Open Hardware and Future Computing
 
AMD Ryzen Pro
AMD Ryzen ProAMD Ryzen Pro
AMD Ryzen Pro
 
Accelerating Innovation from Edge to Cloud
Accelerating Innovation from Edge to CloudAccelerating Innovation from Edge to Cloud
Accelerating Innovation from Edge to Cloud
 
AMD EPYC World Records
AMD EPYC World RecordsAMD EPYC World Records
AMD EPYC World Records
 
Redis-SGX: Dmitrii Kuvaiskii
Redis-SGX: Dmitrii KuvaiskiiRedis-SGX: Dmitrii Kuvaiskii
Redis-SGX: Dmitrii Kuvaiskii
 
Radeon Vega Pro
Radeon Vega ProRadeon Vega Pro
Radeon Vega Pro
 

Similar to AMD Ryzen Mobile with Radeon Vega Graphics

Amd ces tech day 2018 lisa su
Amd ces tech day 2018 lisa suAmd ces tech day 2018 lisa su
Amd ces tech day 2018 lisa suTeddy Kuo
 
Computer specifications
Computer specificationsComputer specifications
Computer specificationsLeonel Rivas
 
Accelerate Game Development and Enhance Game Experience with Intel® Optane™ T...
Accelerate Game Development and Enhance Game Experience with Intel® Optane™ T...Accelerate Game Development and Enhance Game Experience with Intel® Optane™ T...
Accelerate Game Development and Enhance Game Experience with Intel® Optane™ T...Intel® Software
 
2013 Elite A-Series Launch
2013 Elite A-Series Launch2013 Elite A-Series Launch
2013 Elite A-Series LaunchAMD
 
AMD Opteron 6000 Series Platform Press Presentation
AMD Opteron 6000 Series Platform Press PresentationAMD Opteron 6000 Series Platform Press Presentation
AMD Opteron 6000 Series Platform Press PresentationAMD
 
Architectural Analysis of Game Machines
Architectural Analysis of Game MachinesArchitectural Analysis of Game Machines
Architectural Analysis of Game MachinesPraveen AP
 
Cерверы Depo storm 3400 на базе новейших процессоров intel xeon e5 2600v3 fin
Cерверы Depo storm 3400 на базе новейших процессоров intel xeon e5 2600v3 finCерверы Depo storm 3400 на базе новейших процессоров intel xeon e5 2600v3 fin
Cерверы Depo storm 3400 на базе новейших процессоров intel xeon e5 2600v3 finDEPO Computers
 
計算力学シミュレーションに GPU は役立つのか?
計算力学シミュレーションに GPU は役立つのか?計算力学シミュレーションに GPU は役立つのか?
計算力学シミュレーションに GPU は役立つのか?Shinnosuke Furuya
 
Ceph Day Tokyo - Delivering cost effective, high performance Ceph cluster
Ceph Day Tokyo - Delivering cost effective, high performance Ceph clusterCeph Day Tokyo - Delivering cost effective, high performance Ceph cluster
Ceph Day Tokyo - Delivering cost effective, high performance Ceph clusterCeph Community
 
Amd epyc update_gdep_xilinx_ai_web_seminar_20201028
Amd epyc update_gdep_xilinx_ai_web_seminar_20201028Amd epyc update_gdep_xilinx_ai_web_seminar_20201028
Amd epyc update_gdep_xilinx_ai_web_seminar_20201028ssuser5b12d1
 
Accelerating Cassandra Workloads on Ceph with All-Flash PCIE SSDS
Accelerating Cassandra Workloads on Ceph with All-Flash PCIE SSDSAccelerating Cassandra Workloads on Ceph with All-Flash PCIE SSDS
Accelerating Cassandra Workloads on Ceph with All-Flash PCIE SSDSCeph Community
 
20181210 - PGconf.ASIA Unconference
20181210 - PGconf.ASIA Unconference20181210 - PGconf.ASIA Unconference
20181210 - PGconf.ASIA UnconferenceKohei KaiGai
 
The Power of One: Supermicro’s High-Performance Single-Processor Blade Systems
The Power of One: Supermicro’s High-Performance Single-Processor Blade SystemsThe Power of One: Supermicro’s High-Performance Single-Processor Blade Systems
The Power of One: Supermicro’s High-Performance Single-Processor Blade SystemsRebekah Rodriguez
 
PCCC22:日本AMD株式会社 テーマ1「第4世代AMD EPYC™ プロセッサー (Genoa) の概要」
PCCC22:日本AMD株式会社 テーマ1「第4世代AMD EPYC™ プロセッサー (Genoa) の概要」PCCC22:日本AMD株式会社 テーマ1「第4世代AMD EPYC™ プロセッサー (Genoa) の概要」
PCCC22:日本AMD株式会社 テーマ1「第4世代AMD EPYC™ プロセッサー (Genoa) の概要」PC Cluster Consortium
 
AMD Opteron 4000 Series Platform Press Presentation
AMD Opteron 4000 Series Platform Press PresentationAMD Opteron 4000 Series Platform Press Presentation
AMD Opteron 4000 Series Platform Press PresentationAMD
 
AMD Zen 2 Core Microarchitecture Overview
AMD Zen 2 Core Microarchitecture OverviewAMD Zen 2 Core Microarchitecture Overview
AMD Zen 2 Core Microarchitecture OverviewRobert Hallock
 
Ceph Day KL - Delivering cost-effective, high performance Ceph cluster
Ceph Day KL - Delivering cost-effective, high performance Ceph clusterCeph Day KL - Delivering cost-effective, high performance Ceph cluster
Ceph Day KL - Delivering cost-effective, high performance Ceph clusterCeph Community
 
Virtual Private Server Documentation
Virtual Private Server DocumentationVirtual Private Server Documentation
Virtual Private Server Documentationwebhostingguy
 
Virtual Private Server Documentation
Virtual Private Server DocumentationVirtual Private Server Documentation
Virtual Private Server Documentationwebhostingguy
 

Similar to AMD Ryzen Mobile with Radeon Vega Graphics (20)

Amd ces tech day 2018 lisa su
Amd ces tech day 2018 lisa suAmd ces tech day 2018 lisa su
Amd ces tech day 2018 lisa su
 
Chipsets amd
Chipsets amdChipsets amd
Chipsets amd
 
Computer specifications
Computer specificationsComputer specifications
Computer specifications
 
Accelerate Game Development and Enhance Game Experience with Intel® Optane™ T...
Accelerate Game Development and Enhance Game Experience with Intel® Optane™ T...Accelerate Game Development and Enhance Game Experience with Intel® Optane™ T...
Accelerate Game Development and Enhance Game Experience with Intel® Optane™ T...
 
2013 Elite A-Series Launch
2013 Elite A-Series Launch2013 Elite A-Series Launch
2013 Elite A-Series Launch
 
AMD Opteron 6000 Series Platform Press Presentation
AMD Opteron 6000 Series Platform Press PresentationAMD Opteron 6000 Series Platform Press Presentation
AMD Opteron 6000 Series Platform Press Presentation
 
Architectural Analysis of Game Machines
Architectural Analysis of Game MachinesArchitectural Analysis of Game Machines
Architectural Analysis of Game Machines
 
Cерверы Depo storm 3400 на базе новейших процессоров intel xeon e5 2600v3 fin
Cерверы Depo storm 3400 на базе новейших процессоров intel xeon e5 2600v3 finCерверы Depo storm 3400 на базе новейших процессоров intel xeon e5 2600v3 fin
Cерверы Depo storm 3400 на базе новейших процессоров intel xeon e5 2600v3 fin
 
計算力学シミュレーションに GPU は役立つのか?
計算力学シミュレーションに GPU は役立つのか?計算力学シミュレーションに GPU は役立つのか?
計算力学シミュレーションに GPU は役立つのか?
 
Ceph Day Tokyo - Delivering cost effective, high performance Ceph cluster
Ceph Day Tokyo - Delivering cost effective, high performance Ceph clusterCeph Day Tokyo - Delivering cost effective, high performance Ceph cluster
Ceph Day Tokyo - Delivering cost effective, high performance Ceph cluster
 
Amd epyc update_gdep_xilinx_ai_web_seminar_20201028
Amd epyc update_gdep_xilinx_ai_web_seminar_20201028Amd epyc update_gdep_xilinx_ai_web_seminar_20201028
Amd epyc update_gdep_xilinx_ai_web_seminar_20201028
 
Accelerating Cassandra Workloads on Ceph with All-Flash PCIE SSDS
Accelerating Cassandra Workloads on Ceph with All-Flash PCIE SSDSAccelerating Cassandra Workloads on Ceph with All-Flash PCIE SSDS
Accelerating Cassandra Workloads on Ceph with All-Flash PCIE SSDS
 
20181210 - PGconf.ASIA Unconference
20181210 - PGconf.ASIA Unconference20181210 - PGconf.ASIA Unconference
20181210 - PGconf.ASIA Unconference
 
The Power of One: Supermicro’s High-Performance Single-Processor Blade Systems
The Power of One: Supermicro’s High-Performance Single-Processor Blade SystemsThe Power of One: Supermicro’s High-Performance Single-Processor Blade Systems
The Power of One: Supermicro’s High-Performance Single-Processor Blade Systems
 
PCCC22:日本AMD株式会社 テーマ1「第4世代AMD EPYC™ プロセッサー (Genoa) の概要」
PCCC22:日本AMD株式会社 テーマ1「第4世代AMD EPYC™ プロセッサー (Genoa) の概要」PCCC22:日本AMD株式会社 テーマ1「第4世代AMD EPYC™ プロセッサー (Genoa) の概要」
PCCC22:日本AMD株式会社 テーマ1「第4世代AMD EPYC™ プロセッサー (Genoa) の概要」
 
AMD Opteron 4000 Series Platform Press Presentation
AMD Opteron 4000 Series Platform Press PresentationAMD Opteron 4000 Series Platform Press Presentation
AMD Opteron 4000 Series Platform Press Presentation
 
AMD Zen 2 Core Microarchitecture Overview
AMD Zen 2 Core Microarchitecture OverviewAMD Zen 2 Core Microarchitecture Overview
AMD Zen 2 Core Microarchitecture Overview
 
Ceph Day KL - Delivering cost-effective, high performance Ceph cluster
Ceph Day KL - Delivering cost-effective, high performance Ceph clusterCeph Day KL - Delivering cost-effective, high performance Ceph cluster
Ceph Day KL - Delivering cost-effective, high performance Ceph cluster
 
Virtual Private Server Documentation
Virtual Private Server DocumentationVirtual Private Server Documentation
Virtual Private Server Documentation
 
Virtual Private Server Documentation
Virtual Private Server DocumentationVirtual Private Server Documentation
Virtual Private Server Documentation
 

More from Low Hong Chuan

AMD Ryzen and Althon 7020 Series Product Brief
AMD Ryzen and Althon 7020 Series Product BriefAMD Ryzen and Althon 7020 Series Product Brief
AMD Ryzen and Althon 7020 Series Product BriefLow Hong Chuan
 
AMD Ryzen PRO 4000 Series Mobile Processors
AMD Ryzen PRO 4000 Series Mobile Processors AMD Ryzen PRO 4000 Series Mobile Processors
AMD Ryzen PRO 4000 Series Mobile Processors Low Hong Chuan
 
AMD Epyc 7Fx2 Press Deck
AMD Epyc 7Fx2 Press DeckAMD Epyc 7Fx2 Press Deck
AMD Epyc 7Fx2 Press DeckLow Hong Chuan
 
AMD CES 2020 Press Conference Presentation
AMD CES 2020 Press Conference PresentationAMD CES 2020 Press Conference Presentation
AMD CES 2020 Press Conference PresentationLow Hong Chuan
 
Intel Performance Workshop @ CES 2020
Intel Performance Workshop @ CES 2020Intel Performance Workshop @ CES 2020
Intel Performance Workshop @ CES 2020Low Hong Chuan
 
AMD Radeon Software Adrenalin 2020
AMD Radeon Software Adrenalin 2020AMD Radeon Software Adrenalin 2020
AMD Radeon Software Adrenalin 2020Low Hong Chuan
 
AMD Ryzen 9 3950X and Ryzen Threadripper 3000
AMD Ryzen 9 3950X and Ryzen Threadripper 3000AMD Ryzen 9 3950X and Ryzen Threadripper 3000
AMD Ryzen 9 3950X and Ryzen Threadripper 3000Low Hong Chuan
 
AMD Ryzen Embedded R1000 SoC
AMD Ryzen Embedded R1000 SoCAMD Ryzen Embedded R1000 SoC
AMD Ryzen Embedded R1000 SoCLow Hong Chuan
 
2nd gen AMD Ryzen mobile processors
2nd gen AMD Ryzen mobile processors2nd gen AMD Ryzen mobile processors
2nd gen AMD Ryzen mobile processorsLow Hong Chuan
 
Intel Core X-seires processors
Intel Core X-seires processorsIntel Core X-seires processors
Intel Core X-seires processorsLow Hong Chuan
 
Mark Papermaster Next Horizon Presentation
Mark Papermaster Next Horizon PresentationMark Papermaster Next Horizon Presentation
Mark Papermaster Next Horizon PresentationLow Hong Chuan
 
Lisa Su Next Horizon Open
Lisa Su Next Horizon OpenLisa Su Next Horizon Open
Lisa Su Next Horizon OpenLow Hong Chuan
 
Lisa Su Next Horizon Close
Lisa Su Next Horizon CloseLisa Su Next Horizon Close
Lisa Su Next Horizon CloseLow Hong Chuan
 
AMD David Wang Next Horizon
AMD David Wang Next HorizonAMD David Wang Next Horizon
AMD David Wang Next HorizonLow Hong Chuan
 
AMD Ryzen Threadripper in Techday
AMD Ryzen Threadripper in TechdayAMD Ryzen Threadripper in Techday
AMD Ryzen Threadripper in TechdayLow Hong Chuan
 
AMD Epyc CPU for Data Center
AMD Epyc CPU for Data CenterAMD Epyc CPU for Data Center
AMD Epyc CPU for Data CenterLow Hong Chuan
 
Computex 2017 - AMD Press Conference
Computex 2017 - AMD Press ConferenceComputex 2017 - AMD Press Conference
Computex 2017 - AMD Press ConferenceLow Hong Chuan
 
ASUS ROG X299 Series motherboard
ASUS ROG X299 Series motherboardASUS ROG X299 Series motherboard
ASUS ROG X299 Series motherboardLow Hong Chuan
 
ASUS X299 series motherboard for TUF & PRIME
ASUS X299 series motherboard for TUF & PRIMEASUS X299 series motherboard for TUF & PRIME
ASUS X299 series motherboard for TUF & PRIMELow Hong Chuan
 

More from Low Hong Chuan (20)

AMD Ryzen and Althon 7020 Series Product Brief
AMD Ryzen and Althon 7020 Series Product BriefAMD Ryzen and Althon 7020 Series Product Brief
AMD Ryzen and Althon 7020 Series Product Brief
 
AMD Ryzen PRO 4000 Series Mobile Processors
AMD Ryzen PRO 4000 Series Mobile Processors AMD Ryzen PRO 4000 Series Mobile Processors
AMD Ryzen PRO 4000 Series Mobile Processors
 
AMD Epyc 7Fx2 Press Deck
AMD Epyc 7Fx2 Press DeckAMD Epyc 7Fx2 Press Deck
AMD Epyc 7Fx2 Press Deck
 
AMD CES 2020 Press Conference Presentation
AMD CES 2020 Press Conference PresentationAMD CES 2020 Press Conference Presentation
AMD CES 2020 Press Conference Presentation
 
Intel Performance Workshop @ CES 2020
Intel Performance Workshop @ CES 2020Intel Performance Workshop @ CES 2020
Intel Performance Workshop @ CES 2020
 
AMD Radeon Software Adrenalin 2020
AMD Radeon Software Adrenalin 2020AMD Radeon Software Adrenalin 2020
AMD Radeon Software Adrenalin 2020
 
AMD Ryzen 9 3950X and Ryzen Threadripper 3000
AMD Ryzen 9 3950X and Ryzen Threadripper 3000AMD Ryzen 9 3950X and Ryzen Threadripper 3000
AMD Ryzen 9 3950X and Ryzen Threadripper 3000
 
AMD Ryzen Embedded R1000 SoC
AMD Ryzen Embedded R1000 SoCAMD Ryzen Embedded R1000 SoC
AMD Ryzen Embedded R1000 SoC
 
2nd gen AMD Ryzen mobile processors
2nd gen AMD Ryzen mobile processors2nd gen AMD Ryzen mobile processors
2nd gen AMD Ryzen mobile processors
 
Intel Core X-seires processors
Intel Core X-seires processorsIntel Core X-seires processors
Intel Core X-seires processors
 
Mark Papermaster Next Horizon Presentation
Mark Papermaster Next Horizon PresentationMark Papermaster Next Horizon Presentation
Mark Papermaster Next Horizon Presentation
 
Lisa Su Next Horizon Open
Lisa Su Next Horizon OpenLisa Su Next Horizon Open
Lisa Su Next Horizon Open
 
Lisa Su Next Horizon Close
Lisa Su Next Horizon CloseLisa Su Next Horizon Close
Lisa Su Next Horizon Close
 
AMD David Wang Next Horizon
AMD David Wang Next HorizonAMD David Wang Next Horizon
AMD David Wang Next Horizon
 
HDMI 2.1 SPEC
HDMI 2.1 SPECHDMI 2.1 SPEC
HDMI 2.1 SPEC
 
AMD Ryzen Threadripper in Techday
AMD Ryzen Threadripper in TechdayAMD Ryzen Threadripper in Techday
AMD Ryzen Threadripper in Techday
 
AMD Epyc CPU for Data Center
AMD Epyc CPU for Data CenterAMD Epyc CPU for Data Center
AMD Epyc CPU for Data Center
 
Computex 2017 - AMD Press Conference
Computex 2017 - AMD Press ConferenceComputex 2017 - AMD Press Conference
Computex 2017 - AMD Press Conference
 
ASUS ROG X299 Series motherboard
ASUS ROG X299 Series motherboardASUS ROG X299 Series motherboard
ASUS ROG X299 Series motherboard
 
ASUS X299 series motherboard for TUF & PRIME
ASUS X299 series motherboard for TUF & PRIMEASUS X299 series motherboard for TUF & PRIME
ASUS X299 series motherboard for TUF & PRIME
 

Recently uploaded

"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr BaganFwdays
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 3652toLead Limited
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Enterprise Knowledge
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostZilliz
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsSergiu Bodiu
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyAlfredo García Lavilla
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piececharlottematthew16
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek SchlawackFwdays
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024Lorenzo Miniero
 
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Mattias Andersson
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxLoriGlavin3
 
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo DayH2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo DaySri Ambati
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Scott Keck-Warren
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebUiPathCommunity
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenHervé Boutemy
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsMiki Katsuragi
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...Fwdays
 

Recently uploaded (20)

"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platforms
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easy
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piece
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024
 
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
 
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo DayH2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio Web
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache Maven
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering Tips
 
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptxE-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
 

AMD Ryzen Mobile with Radeon Vega Graphics

  • 1. UNDER EMBARGO TO OCT 26, 2017, 9 AM ET
  • 2.
  • 3.
  • 5.
  • 6.
  • 7.
  • 8.
  • 9.
  • 10.
  • 11.
  • 12.
  • 13.
  • 14.
  • 15.
  • 16.
  • 17.
  • 18.
  • 19. * Based on AMD testing as of 9/25/2017. System configuration(s): HP ENVY X360, AMD Ryzen™ 7 2700U, 2x4GB DDR4-2400, Samsung 850 Pro SSD, Windows 10 x64 1703, Graphics Driver: 17.30.1025, BIOS F11. IN AN ULTRATHIN
  • 20.
  • 21.
  • 22.
  • 23. * Based on AMD testing as of 10/11/2017. Battery life targets for the AMD Ryzen™ Processor with Radeon Graphics assume a 50Wh battery, a fully power-optimized software/hardware solution stack, and the following system configuration: AMD Reference Platform, AMD Ryzen™ 7 2700U, 2x4GB DDR4-2400, graphics driver 17.30.1025, Windows 10 x64 (1703). VP9 battery life improvement of 4.5 hours to 9.2 hours represents 2.04. Actual battery life may vary with system configuration.
  • 24.
  • 25.
  • 26.
  • 27. UNDER EMBARGO TO OCT 26, 2017, 9 AM ET
  • 28.
  • 29.
  • 30.
  • 31.      Multimedia Engines I/O and System Hub DDR4 Memory Controllers Display Engine Infinity Fabric “Zen” Core “Zen” Core “Zen” Core “Zen” Core L3 Cache “ZEN” CORE COMPLEX “VEGA” GRAPHICS Graphics Pipeline Compute Engine Pixel Engines L2 Cache * mXFR enablement must meet AMD requirements. Not enabled on all notebook designs. Check with manufacturer to confirm “amplified mXFR performance” support.
  • 32.
  • 36.
  • 37. * Based on AMD internal data of an optimized AMD Ryzen™ Mobile APU reference platform as of 9/25/2017. PC manufacturers may vary configuration yielding different results.       Represents LDO Regulated / Power Gating Region CPU1CPU0 CPU2 CPU3 L3 CPU Region “ZEN” CORE COMPLEX “VEGA” GRAPHICS COMPLEX GFX Compute Region GFX Region VDD Region System Voltage Regulator VDD Package Rail
  • 40.   ↔  ↔  0 200 400 600 800 1000 1200 0 0.5 1 1.5 2 2.5 3 3.5 Frequency Time Core0 Eff. Freq. Core1 Eff. Freq. Core2 Eff. Freq. Core3 Eff. Freq. GPU Eff. Freq. * Based on AMD testing as of 10/11/2017. Clockspeed plot is a snapshot of 8 seconds of 3DMark Fire Strike. “Effective frequency” is the product of the reported clockspeed and %time in active workload C0 C-state.
  • 41. * Based on AMD internal data of an optimized AMD Ryzen™ Mobile APU reference platform as of 9/25/2017. PC manufacturers may vary configuration yielding different results.      VDDOFF DeeperLowPowerStates FasterEntry/ExitLatencies CC6 Graphics Power Gating Active States, Deep Sleep States, Clock Gated States Active States, Clock Gated States Meet CC6 Entry Timer Meet GFX Idle Entry Timer Region Power Gating by LDO PG Headers Latencies 100us or less CPUOFF GFXOFF All Cores in CC6 and Meet CPUOFF Entry Timer Meet GFXOFF Entry Timer Multiple LDO Regions Gated Latencies 1.5ms or less Enter if simultaneous CPUOFF & GFX OFF Input VDD Rail Off VDDOFF
  • 42.      Memory Controller Interface Display Controller Interface CPU Interface GPU Interface Multimedia Hub Interface IO Hub Interface Type B PG Region Type A PG Region AON Type B PG Region AON Type A PG Region AON AON Type A PG Region AON AONPower Gated Region Always On Region
  • 43.       * Based on AMD internal data of an optimized AMD Ryzen™ Mobile APU reference platform as of 9/25/2017. PC manufacturers may vary configuration yielding different results.
  • 44.
  • 45.
  • 46.
  • 47.
  • 50.