SlideShare a Scribd company logo
1 of 3
Download to read offline
Dashboard: November Issue
Floorplanning guidelines in Physical design
Floorplanning guidelines for
Physical design
- Vijay. I. Patel
Dashboard: November Issue
Floorplanning guidelines for Physical design
Floorplanning guidelines for Physical design
Floorplanning is a critical part in physical design. High-quality floorplanning of
complex hierarchical design ensures accurate circuit timing and performance.
Quality of floorplanning determines the quality of final designs. Poor floorplanning
may lead to problems like design failing to meet timing closure, routing
congestion, and high power dissipation, more area, huge IR-drops and signal
integrity issues.
Floorplanning involves decision on pin/pad location, hard macro placement,
placement and routing blockage, location and area of the soft macros and its pin
locations, number of power pads and its location.
Below mentioned tips will help in making correct floorplanning decisions
• While fixing the location of the pin or pad always consider the surrounding
environment with which the block or chip is interacting. This avoids routing
congestion and also benefits in effective circuit timing.
e.g. If a chip/block containing RX_DATA bus is going to sit on right hand side,
TX_DATA bus should also be placed on the right hand side of the chip/block.
• Provide sufficient number of power/ground pads on each side of the chip for
effective power distribution. In deciding the number of power/ground pads,
Power report and IR-drop in the design should also be considered.
• Proper macro placement is the essence for the performance of any design. A
design typically contains hundreds of hard macros varying from memory, PLL
and processors. Flyline analysis should be done while placing the macros.
This analysis gives a clear idea on interconnection with other macros and IO
pins. Orientation of these macros forms an important part of floorplanning.
Figure 1 compares two approaches of macro placement, the second one
being more desirable approach.
Figure 1: Approach in Macro placement
Dashboard: November Issue
Floorplanning guidelines for Physical design
• Avoid spreading standard cells in several areas and creating small placement
traps. Figure 2 shows floorplan with many pockets and isolated regions
between the macros that can trap a standard cell and limit the routing access.
A physical design engineer must focus on having homogeneous standard cell
area with aligned macros as shown in Figure3.
Figure 2 Figure 3
• Create standard cell placement blockage at the corner of the macro because
this part is more prone to routing congestion. Also create standard cell
placement blockage in long thin channel between macros.
• Avoid uneven routing resources in the design by using the proper aspect ratio
(Width /Height) of the chip. For example, considering a library with four routing
layers and that the standard-cell rails are in layer 1. This arrangement reduces
the horizontal routing resources to layer 3, while vertical routing resources are
available on layers 2 and 4. Lack of horizontal routing resources can lead to
congestion on layers 1 and 3. Greater width of the chip than its height,
compounds the problem.
• For designs that have horizontal overflow, to increase utilization, cell row
separation is increased which in turn helps increase horizontal routing
resources.
• In hierarchical design, Cluster based implementation enables to place the
standard cells of the given module in predefined region. Due which interacting
cells will be sitting in close proximity and thus will help in saving routing
resource. Also the length of the routes will be small which in turn reduce the
delay of the path.
• Design that have analog block and routing blockage are not defined in any
physical library, this creates routing blockage for all layers over the analog
block. Analog block are more susceptible to noise and signal routes going
over such block cause signal integrity issues.
Time and efforts that are put in floorplanning save iterations and make design
cycle faster.

More Related Content

What's hot

VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENThelloactiva
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlockseInfochips (An Arrow Company)
 
Power Reduction Techniques
Power Reduction TechniquesPower Reduction Techniques
Power Reduction TechniquesRajesh M
 
crosstalk minimisation using vlsi
crosstalk minimisation using vlsicrosstalk minimisation using vlsi
crosstalk minimisation using vlsisubhradeep mitra
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical designDeiptii Das
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...VLSI SYSTEM Design
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI Jayant Suthar
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioningSushil Kundu
 
1 introduction to vlsi physical design
1 introduction to vlsi physical design1 introduction to vlsi physical design
1 introduction to vlsi physical designsasikun
 
Timing closure document
Timing closure documentTiming closure document
Timing closure documentAlan Tran
 

What's hot (20)

VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
 
Powerplanning
PowerplanningPowerplanning
Powerplanning
 
Back end[1] debdeep
Back end[1]  debdeepBack end[1]  debdeep
Back end[1] debdeep
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
 
Power Reduction Techniques
Power Reduction TechniquesPower Reduction Techniques
Power Reduction Techniques
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
Low power
Low powerLow power
Low power
 
crosstalk minimisation using vlsi
crosstalk minimisation using vlsicrosstalk minimisation using vlsi
crosstalk minimisation using vlsi
 
Pd flow i
Pd flow iPd flow i
Pd flow i
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical design
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
 
Regular buffer v/s Clock buffer
Regular buffer v/s Clock bufferRegular buffer v/s Clock buffer
Regular buffer v/s Clock buffer
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI
 
On-Chip Variation
On-Chip VariationOn-Chip Variation
On-Chip Variation
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioning
 
Power Gating
Power GatingPower Gating
Power Gating
 
1 introduction to vlsi physical design
1 introduction to vlsi physical design1 introduction to vlsi physical design
1 introduction to vlsi physical design
 
Timing closure document
Timing closure documentTiming closure document
Timing closure document
 

Similar to Floorplanning in physical design

ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYIlango Jeyasubramanian
 
Your Route to Design Success - PCB Routing Tips from the Pros
Your Route to Design Success - PCB Routing Tips from the ProsYour Route to Design Success - PCB Routing Tips from the Pros
Your Route to Design Success - PCB Routing Tips from the ProsEMA Design Automation
 
Digital standard cell library Design flow
Digital standard cell library Design flowDigital standard cell library Design flow
Digital standard cell library Design flowijsrd.com
 
Chapter5 16 marks for PG PAWSN
Chapter5 16 marks for PG PAWSNChapter5 16 marks for PG PAWSN
Chapter5 16 marks for PG PAWSNDhaya kanthavel
 
Physical Design overview
Physical Design overviewPhysical Design overview
Physical Design overviewMarcus Wei
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI DesignTeam-VLSI-ITMU
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital icsaroosa khan
 
IRJET - Augmented Tangible Style using 8051 MCU
IRJET -  	  Augmented Tangible Style using 8051 MCUIRJET -  	  Augmented Tangible Style using 8051 MCU
IRJET - Augmented Tangible Style using 8051 MCUIRJET Journal
 
Noise Tolerant and Faster On Chip Communication Using Binoc Model
Noise Tolerant and Faster On Chip Communication Using Binoc ModelNoise Tolerant and Faster On Chip Communication Using Binoc Model
Noise Tolerant and Faster On Chip Communication Using Binoc ModelIJMER
 
Intelligent space buffers power efficient solution for network on chip
Intelligent space buffers   power efficient solution for network on chipIntelligent space buffers   power efficient solution for network on chip
Intelligent space buffers power efficient solution for network on chipDhiraj Chaudhary
 
Power efficient solution for network on chip
Power efficient solution for network on chipPower efficient solution for network on chip
Power efficient solution for network on chipDhiraj Chaudhary
 

Similar to Floorplanning in physical design (20)

Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)
 
Cell Planning
Cell PlanningCell Planning
Cell Planning
 
Full IC Flow.docx
Full IC Flow.docxFull IC Flow.docx
Full IC Flow.docx
 
Floor planning ppt
Floor planning pptFloor planning ppt
Floor planning ppt
 
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
 
E silicon track b
E silicon  track bE silicon  track b
E silicon track b
 
Your Route to Design Success - PCB Routing Tips from the Pros
Your Route to Design Success - PCB Routing Tips from the ProsYour Route to Design Success - PCB Routing Tips from the Pros
Your Route to Design Success - PCB Routing Tips from the Pros
 
Digital standard cell library Design flow
Digital standard cell library Design flowDigital standard cell library Design flow
Digital standard cell library Design flow
 
Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
 
Chapter5 16 marks for PG PAWSN
Chapter5 16 marks for PG PAWSNChapter5 16 marks for PG PAWSN
Chapter5 16 marks for PG PAWSN
 
Physical Design overview
Physical Design overviewPhysical Design overview
Physical Design overview
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
 
High bit rate_mul
High bit rate_mulHigh bit rate_mul
High bit rate_mul
 
floor planning
floor planningfloor planning
floor planning
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital ics
 
IRJET - Augmented Tangible Style using 8051 MCU
IRJET -  	  Augmented Tangible Style using 8051 MCUIRJET -  	  Augmented Tangible Style using 8051 MCU
IRJET - Augmented Tangible Style using 8051 MCU
 
VLSI Design- Guru.ppt
VLSI Design- Guru.pptVLSI Design- Guru.ppt
VLSI Design- Guru.ppt
 
Noise Tolerant and Faster On Chip Communication Using Binoc Model
Noise Tolerant and Faster On Chip Communication Using Binoc ModelNoise Tolerant and Faster On Chip Communication Using Binoc Model
Noise Tolerant and Faster On Chip Communication Using Binoc Model
 
Intelligent space buffers power efficient solution for network on chip
Intelligent space buffers   power efficient solution for network on chipIntelligent space buffers   power efficient solution for network on chip
Intelligent space buffers power efficient solution for network on chip
 
Power efficient solution for network on chip
Power efficient solution for network on chipPower efficient solution for network on chip
Power efficient solution for network on chip
 

Recently uploaded

How to Add New Custom Addons Path in Odoo 17
How to Add New Custom Addons Path in Odoo 17How to Add New Custom Addons Path in Odoo 17
How to Add New Custom Addons Path in Odoo 17Celine George
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfAdmir Softic
 
How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17Celine George
 
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...Nguyen Thanh Tu Collection
 
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptxHMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptxEsquimalt MFRC
 
How to setup Pycharm environment for Odoo 17.pptx
How to setup Pycharm environment for Odoo 17.pptxHow to setup Pycharm environment for Odoo 17.pptx
How to setup Pycharm environment for Odoo 17.pptxCeline George
 
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptxOn_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptxPooja Bhuva
 
Unit 3 Emotional Intelligence and Spiritual Intelligence.pdf
Unit 3 Emotional Intelligence and Spiritual Intelligence.pdfUnit 3 Emotional Intelligence and Spiritual Intelligence.pdf
Unit 3 Emotional Intelligence and Spiritual Intelligence.pdfDr Vijay Vishwakarma
 
Fostering Friendships - Enhancing Social Bonds in the Classroom
Fostering Friendships - Enhancing Social Bonds  in the ClassroomFostering Friendships - Enhancing Social Bonds  in the Classroom
Fostering Friendships - Enhancing Social Bonds in the ClassroomPooky Knightsmith
 
Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)Jisc
 
Exploring_the_Narrative_Style_of_Amitav_Ghoshs_Gun_Island.pptx
Exploring_the_Narrative_Style_of_Amitav_Ghoshs_Gun_Island.pptxExploring_the_Narrative_Style_of_Amitav_Ghoshs_Gun_Island.pptx
Exploring_the_Narrative_Style_of_Amitav_Ghoshs_Gun_Island.pptxPooja Bhuva
 
On National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsOn National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsMebane Rash
 
The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxheathfieldcps1
 
Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)Jisc
 
General Principles of Intellectual Property: Concepts of Intellectual Proper...
General Principles of Intellectual Property: Concepts of Intellectual  Proper...General Principles of Intellectual Property: Concepts of Intellectual  Proper...
General Principles of Intellectual Property: Concepts of Intellectual Proper...Poonam Aher Patil
 
ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.MaryamAhmad92
 
ICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxAreebaZafar22
 
Interdisciplinary_Insights_Data_Collection_Methods.pptx
Interdisciplinary_Insights_Data_Collection_Methods.pptxInterdisciplinary_Insights_Data_Collection_Methods.pptx
Interdisciplinary_Insights_Data_Collection_Methods.pptxPooja Bhuva
 
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxHMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxmarlenawright1
 
Towards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptxTowards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptxJisc
 

Recently uploaded (20)

How to Add New Custom Addons Path in Odoo 17
How to Add New Custom Addons Path in Odoo 17How to Add New Custom Addons Path in Odoo 17
How to Add New Custom Addons Path in Odoo 17
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdf
 
How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17
 
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
 
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptxHMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
 
How to setup Pycharm environment for Odoo 17.pptx
How to setup Pycharm environment for Odoo 17.pptxHow to setup Pycharm environment for Odoo 17.pptx
How to setup Pycharm environment for Odoo 17.pptx
 
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptxOn_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
 
Unit 3 Emotional Intelligence and Spiritual Intelligence.pdf
Unit 3 Emotional Intelligence and Spiritual Intelligence.pdfUnit 3 Emotional Intelligence and Spiritual Intelligence.pdf
Unit 3 Emotional Intelligence and Spiritual Intelligence.pdf
 
Fostering Friendships - Enhancing Social Bonds in the Classroom
Fostering Friendships - Enhancing Social Bonds  in the ClassroomFostering Friendships - Enhancing Social Bonds  in the Classroom
Fostering Friendships - Enhancing Social Bonds in the Classroom
 
Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)
 
Exploring_the_Narrative_Style_of_Amitav_Ghoshs_Gun_Island.pptx
Exploring_the_Narrative_Style_of_Amitav_Ghoshs_Gun_Island.pptxExploring_the_Narrative_Style_of_Amitav_Ghoshs_Gun_Island.pptx
Exploring_the_Narrative_Style_of_Amitav_Ghoshs_Gun_Island.pptx
 
On National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsOn National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan Fellows
 
The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptx
 
Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)
 
General Principles of Intellectual Property: Concepts of Intellectual Proper...
General Principles of Intellectual Property: Concepts of Intellectual  Proper...General Principles of Intellectual Property: Concepts of Intellectual  Proper...
General Principles of Intellectual Property: Concepts of Intellectual Proper...
 
ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.
 
ICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptx
 
Interdisciplinary_Insights_Data_Collection_Methods.pptx
Interdisciplinary_Insights_Data_Collection_Methods.pptxInterdisciplinary_Insights_Data_Collection_Methods.pptx
Interdisciplinary_Insights_Data_Collection_Methods.pptx
 
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxHMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
 
Towards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptxTowards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptx
 

Floorplanning in physical design

  • 1. Dashboard: November Issue Floorplanning guidelines in Physical design Floorplanning guidelines for Physical design - Vijay. I. Patel
  • 2. Dashboard: November Issue Floorplanning guidelines for Physical design Floorplanning guidelines for Physical design Floorplanning is a critical part in physical design. High-quality floorplanning of complex hierarchical design ensures accurate circuit timing and performance. Quality of floorplanning determines the quality of final designs. Poor floorplanning may lead to problems like design failing to meet timing closure, routing congestion, and high power dissipation, more area, huge IR-drops and signal integrity issues. Floorplanning involves decision on pin/pad location, hard macro placement, placement and routing blockage, location and area of the soft macros and its pin locations, number of power pads and its location. Below mentioned tips will help in making correct floorplanning decisions • While fixing the location of the pin or pad always consider the surrounding environment with which the block or chip is interacting. This avoids routing congestion and also benefits in effective circuit timing. e.g. If a chip/block containing RX_DATA bus is going to sit on right hand side, TX_DATA bus should also be placed on the right hand side of the chip/block. • Provide sufficient number of power/ground pads on each side of the chip for effective power distribution. In deciding the number of power/ground pads, Power report and IR-drop in the design should also be considered. • Proper macro placement is the essence for the performance of any design. A design typically contains hundreds of hard macros varying from memory, PLL and processors. Flyline analysis should be done while placing the macros. This analysis gives a clear idea on interconnection with other macros and IO pins. Orientation of these macros forms an important part of floorplanning. Figure 1 compares two approaches of macro placement, the second one being more desirable approach. Figure 1: Approach in Macro placement
  • 3. Dashboard: November Issue Floorplanning guidelines for Physical design • Avoid spreading standard cells in several areas and creating small placement traps. Figure 2 shows floorplan with many pockets and isolated regions between the macros that can trap a standard cell and limit the routing access. A physical design engineer must focus on having homogeneous standard cell area with aligned macros as shown in Figure3. Figure 2 Figure 3 • Create standard cell placement blockage at the corner of the macro because this part is more prone to routing congestion. Also create standard cell placement blockage in long thin channel between macros. • Avoid uneven routing resources in the design by using the proper aspect ratio (Width /Height) of the chip. For example, considering a library with four routing layers and that the standard-cell rails are in layer 1. This arrangement reduces the horizontal routing resources to layer 3, while vertical routing resources are available on layers 2 and 4. Lack of horizontal routing resources can lead to congestion on layers 1 and 3. Greater width of the chip than its height, compounds the problem. • For designs that have horizontal overflow, to increase utilization, cell row separation is increased which in turn helps increase horizontal routing resources. • In hierarchical design, Cluster based implementation enables to place the standard cells of the given module in predefined region. Due which interacting cells will be sitting in close proximity and thus will help in saving routing resource. Also the length of the routes will be small which in turn reduce the delay of the path. • Design that have analog block and routing blockage are not defined in any physical library, this creates routing blockage for all layers over the analog block. Analog block are more susceptible to noise and signal routes going over such block cause signal integrity issues. Time and efforts that are put in floorplanning save iterations and make design cycle faster.