SlideShare a Scribd company logo
1 of 26
Download to read offline
RISCVマイコン情報アップデートと情報アップデートとアップデートとと
Tang FPGA試用メモメモ
2020.06.06
@takeoka
たけおか@AXE
2
たけおか は年寄りだぜ\年寄りだぜ\りだぜ\(^^;/
1988年、国産シンクライアントシンクライアント(X WindowX Window端末”XMiNT”)の設計開発に携わる。設計開発に携わる。に携わる。携わる。わる。
●
独自OS + TCP/IPスタックの設計開発に携わる。独自実装 + X Window Server
●
Network boot した
●
PXEなに携わる。それ?
●
RARP (X WindowReverse ARP, RFC 903) で IPアドレス取得
●
MACアドレスをブロードキャストすると、ブロードキャストすると、IPアドレスをブロードキャストすると、教えてくれる人がいるえてくれる人がいるがいる
●
dhcpは年寄りだぜ\、ず〜〜〜っと後にできるに携わる。できる
●
(X WindowXMintの設計開発に携わる。後にできる追いライバル機種もいライバル機種も機種ももRARPをブロードキャストすると、やっておらず、管理コストが高いマシンばコストが高いマシンばいマシンば
かりであった)
●
tftpでファイル機種も・ロード
●
ロードするファイル機種もは年寄りだぜ\、ar アーカイブ。a.out ヘッダを見て、実メモリに展開 をブロードキャストすると、見て、実メモリに展開 て、実メモリに展開 に携わる。展開 & jump
●
ファイル機種も・システムなんか無くても、なんか無くても、くても、boot&起動するぜするぜ(X Window端末なの設計開発に携わる。で、そらそやろ)
●
1986年〜当時、Sun (X WindowSun2, Sun3) がNetwork bootできた
●
ND(X WindowNet Disk, NFSでは年寄りだぜ\ない)などが必要で、ほぼ独自だったで、ほぼ独自だった独自だった
RISC-Vマイコン情報アップデートと情報アップデートと
アップデートと
RISC-Vマイコン情報アップデートとボード
Sipeed Maixduino
Arduino Uno R3フォームなんか無くても、
ファクタ
K210 SoC + ESP32
HiFive1 Rev B
Si Fiveの設計開発に携わる。Arduino
フォームなんか無くても、ファクタ・ボード
新バージョンバージョン
Tang Primer FPGA,EG4S20
(X Windowsipeed tang Primer FPGA)
あらかじめ RISC-Vが焼かれているかれている
Humming bird E203 core
Verilogで書かれているかれている
Sipeed Longan Nano RISC-V
 GD32VF103CBT6開発ボード開発に携わる。ボード
●
Arduino Uno R3フォームなんか無くても、ファクタ
●
「Sipeed M1w dock suit」と似ていると似ているている
●
K210 SoC + ESP32
●
2.4インチLCDとカメラモジュール機種も付きき
●
I2Sデジタル機種も出力 無くても、指向性MEMSマイク搭載
●
DVPカメラ用24 P/0.5 mm FPCコネクタ
●
8 bit MCU LCD用24 P/0.5 mm FPCコネクタ
●
microSDカードスロット搭載
●
3 W DAC+PAオーディオ出力搭載
●
音声認識用の設計開発に携わる。高いマシンば性能マイクアレイプロセッサ搭載マイクアレイプロセッサ搭載搭載
●
MaixPy IDE/Arduino IDE/OpenMV IDE/PlatformIO IDEで開発に携わる。可能マイクアレイプロセッサ搭載
●
ディープラーニング用の用の設計開発に携わる。Tiny-Yolo/Mobilenet/TensorFlow Liteが利用可能マイクアレイプロセッサ搭載
●
スイッチサ搭載イエンスで 3960円
●
https://www.switch-science.com/catalog/5707/
●
千石電商
●
https://www.sengoku.co.jp/mod/sgk_cart/detail.php?code=EEHD-5JE6
Sipeed の K210 AIマイコン情報アップデートとボード・シリーズ
https://jp.seeedstudio.com/sipeed-maix.html
Sipeed Maixduino
HiFive1 Rev.B
Si Fiveの設計開発に携わる。Arduino フォームなんか無くても、ファクタ・
ボードの設計開発に携わる。新バージョンバージョン
(X Window廃番から、新から、新バージョンSoCで復活)
SoC: FE310-G002
入力電圧: 5 V USB or 7-12 VDC Jack
動するぜ作電圧L 3.3 V and 1.8 V
IO 電圧:3.3 V
Digital I/O : 19
PWM : 9
SPI Controllers/HW CS : 1/3
UART :2
I2C :1
外部割り込み り込み み : 19
外部 ウエイクアップ : 1
Flash Memory: 32 Mbit Off-Chip (X WindowISSI
SPI Flash)
Host Interface : microUSB
Debug: Segger J-Link,
重量: 22 g
FE310-G002
1× E31 RISC‐V cores
machine and user mode,
16 KiB 2-way L1 I-cache
16 KiB data tightly integrated
memory (DTIM).
https://www.sifive.com/boards/hifive1-rev-b
https://sifive.cdn.prismic.io/sifive%2F9ecbb623-7c7f-4acc-966f-9bb10ecdb62e_fe310-g002.pdf
https://www.seeedstudio.com/Sipeed-Longan-Nano-RISC-V-
GD32VF103CBT6-Development-Board-p-4205.html
http://akizukidenshi.com/catalog/g/gK-14678/
Sipeed Longan Nano RISC-V
Sipeed Longan Nano RISC-V 
GD32VF103CBT6開発ボード開発に携わる。ボード
秋月で¥で¥830円
メモリに展開 :128KB Flash/32KB SRAM
中華 RISC-V
ST Microの設計開発に携わる。 STM32 に携わる。近づけてあるづけてある
カラー液晶付きき
統合環境 VSCode で開発に携わる。できる
これは年寄りだぜ\、ライブラリに展開 をブロードキャストすると、使って、って、Micro
SDCard上のの設計開発に携わる。FAT FSの設計開発に携わる。読み書きも楽にでみ書かれているきも楽にでに携わる。で
きます。私は、ちょっとだけ試しています。は年寄りだぜ\、ちょっとだけ試しています。しています。
Tang Primer FPGA
EG4S20 , Tang Primer FPGA
(X Windowsipeed tang Primer FPGA)
あらかじめ RISC-Vが焼かれているかれている
Humming bird E203 core
Verilogで書かれているかれている
秋月で¥で¥2,580円
http://akizukidenshi.com/catalog/g/gM-14786/
スイッチサ搭載イエンス ¥2,662 円
https://www.switch-science.com/catalog/5703/
Sipeed ¥1,918円 (X Window国際宅配便 料金が必要が必要で、ほぼ独自だった)
https://jp.seeedstudio.com/Sipeed-TANG-PriMER-FPGA-Development-
Board-p-2881.html
Tang Primer FPGA
Tang Primer FPGA 参考URL
Humming bird FPGA ソース
https://github.com/SI-RISCV/e200_opensource
●
開発に携わる。方法
https://tang.sipeed.com/en/getting-started/requirements/
●
ボード製品ページページ
https://dl.sipeed.com/TANG/Primer/IDE/
●
開発に携わる。環境は年寄りだぜ\これがいい!
https://dl.sipeed.com/TANG/Primer/IDE/TD1909_linux.rar
●
Humming bird core解説:
https://content.riscv.org/wp-content/uploads/2018/07/Shanghai
-1110_HummingBirdE200forShanghaiDay_v1.pdf
Humming bird E203 core
●
2段 パイプラインパイプライン
●
RV32IMAC 命令セットセット
●
面積と消費電力のライバルはと消費電力のライバルは消費電力のライバルはのライバルはライバルはは
Cortex M0/M0+/M3
●
下記を統合を統合統合
●
ITCM (Instruction Tightly
Coupled Memory)
●
DTCM (Data Tightly
Coupled Memory)
●
Github上でオープンソースでオープンソースオープンソース
下記より引用解説より引用解説:
https://content.riscv.org/wp-content/uploads/2018/07/Shanghai-
1110_HummingBirdE200forShanghaiDay_v1.pdf
Hummingbird Ultra-Low-Power 32bits RISC-V Processor Core
応用分野
• General or specified MCU core
• Mix-signal Chip controller core
• SoC controller core
Humming bird E203 core
下記より引用解説より引用:
https://content.riscv.org/wp-content/uploads/2018/07/Shanghai-
1110_HummingBirdE200forShanghaiDay_v1.pdf
ITCM, DTCM
ITCM (Instruction Tightly Coupled Memory)
DTCM (Data Tightly Coupled Memory)
●
高速SRAM
●
CPU Coreのライバルはすぐそば
●
組込みだと、みだと消費電力のライバルは、DTCMは常に同じデータを置くと楽に同じデータを置くと楽同じデータを置くと楽じデータを置くと楽データを置くと楽を統合置くと楽くと消費電力のライバルは楽
●
ICTM 、iキャッシュに比べると大変 残念に同じデータを置くと楽比べると大変 残念べると消費電力のライバルは大変 パイプライン残念
●
命令セットコードをコピーして使うを統合コピーして使う使うう
●
中心ループを持ってくればよいルはープを統合持ってくればよいって使うくればよい
●
ESP32 が、icacheと消費電力のライバルは言っているが…って使ういるが…
ITCM
ITCM (Instruction Tightly Coupled Memory)
高速SRAM
●
ICTM 、iキャッシュに比べると大変 残念に同じデータを置くと楽比べると大変 残念べると消費電力のライバルは大変 パイプライン残念
●
命令セットコードをコピーして使うを統合コピーして使う使うう
●
中心ループを持ってくればよいルはープを統合持ってくればよいって使うくればよい
●
ESP32 が、icacheと消費電力のライバルは言っているが…って使ういるが…
●
longjmp()でオープンソースきない
●
ICTMに同じデータを置くと楽入っていないところへは、って使ういないと消費電力のライバルはころへは、longjmp()でオープンソースきない… パイプライン残念…
セグメン情報アップデートとトと・ローダ
●
DEC PDP-11の設計開発に携わる。 RSX-11
●
PDP-11 は年寄りだぜ\、論理コストが高いマシンば空間 64KB (X Window16bit)
●
プログ用のラムなんか無くても、をブロードキャストすると、小さなセグメントに分割できたさなセグ用のメントに携わる。分割り込み できた
●
セグ用のメントをブロードキャストすると、超えたサブルーチン呼び出しは、えたサ搭載ブル機種もーチン呼び出しは、び出しは、出しは年寄りだぜ\、
●
セグ用のメント・ローダを見て、実メモリに展開 をブロードキャストすると、通して呼び出したして呼び出しは、び出しは、出した
●
セグ用のメント・ローダを見て、実メモリに展開 : OS or ランタイムなんか無くても、・ル機種もーチン
●
実メモリに展開 上のに携わる。無くても、いセグ用のメントは年寄りだぜ\、ロード後にできるに携わる。呼び出しは、び出しは、出し
●
実メモリに展開 に携わる。空きが無くても、ければ、不要で、ほぼ独自だったセグ用のメントをブロードキャストすると、廃棄
●
セグ用のメント間の設計開発に携わる。依存関係は、は年寄りだぜ\、link ディレクティブ・ファイル機種もに携わる。書かれているける
Tang FPGA
試用メモ記
Tang Primer pin接続
Tang Primer FPGA 参考URL
●
開発に携わる。方法
https://tang.sipeed.com/en/getting-started/requirements/
●
Anlogic FPGA EG4S20 Datasheet
●
https://github.com/kprasadvnsi/Anlogic_Doc_English
●
ボード製品ページページ
https://dl.sipeed.com/TANG/Primer/IDE/
●
開発に携わる。環境は年寄りだぜ\これがいい!
●
TD_RELEASE_September2019_r4.6.2
https://dl.sipeed.com/TANG/Primer/IDE/TD1909_linux.rar
●
FPGA 例題
https://github.com/Lichee-Pi/Tang_FPGA_Examples
Tang PrimerのRISC-V 参考URL
●
Humming bird FPGA ソース
https://github.com/SI-RISCV/e200_opensource
https://code.ihub.org.cn/projects/200/repository/e200_opensource/re
visions/master/show
●
Humming bird core解説:
https://content.riscv.org/wp-content/uploads/2018/07/Shanghai-
1110_HummingBirdE200forShanghaiDay_v1.pdf
●
Humming bird(X WindowRISC-V) 用 開発に携わる。環境
https://dl.sipeed.com/TANG/Primer/SDK
Gnu Tool chain, Gcc, binutils
●
OpenOCD
●
これでいいの設計開発に携わる。か、確信なし…なし…
https://github.com/Lichee-Pi/LicheeTang_openocd
統合環境 td
Sipeed JTAG
●
https://www.seeedstudio.com/Sipeed-USB-JTAG-TTL-RISC-V-
Debugger-p-2910.html
●
https://www.digikey.jp/catalog/ja/partgroup/sipeed-usb-jtag-ttl-risc-v-
debugger/88307
●
https://www.robotshop.com/jp/ja/sipeed-usb-jtag-ttl-risc-v-debugger-
st-link-v2-stm8-stm32-simulator.html
●
¥1114円
●
●
STM32の設計開発に携わる。デバッグ用のなどでも、ポピュラー
●
OpenOCD の設計開発に携わる。対象
●
FTDI 2232H が入ってるだけ
●
FPGA開発に携わる。に携わる。は年寄りだぜ\不要で、ほぼ独自だった
●
Humming bird の設計開発に携わる。アプリに展開 ケーション・開発に携わる。/デバッグ用のに携わる。欲しいしい
1st
イン情報アップデートとプレッション情報アップデートと
●
電源を入れると、をブロードキャストすると、入れると、RISC-Vが動するぜ作
●
Haummin bird , e203 コア
●
起動するぜメッセージがシリに展開 アル機種も・ポートに携わる。出る
●
LEDの設計開発に携わる。色が変わっていくが変わっていくわっていく
●
シリに展開 アル機種も・ポートは年寄りだぜ\ J13:TX, H13: RX
●
IDEは年寄りだぜ\中華製だが、情報漏えいはないかえいは年寄りだぜ\ないか?
●
仮想マシンマシン(X WindowVMware, VirtualBox)の設計開発に携わる。Linuxで使って、う。ネットワークは年寄りだぜ\最低
限
●
他の、情報は入れないの設計開発に携わる。、情報は年寄りだぜ\入れない
●
ネットワーク・パケット監視、フィル機種もタリに展開 ング用の
●
慣れていれば、難しくはないれていれば、難しくはないしくは年寄りだぜ\ない
1st
イン情報アップデートとプレッション情報アップデートと
●
IDEは年寄りだぜ\Ubuntu Linux 18.4 LTSで、すぐ動く動するぜく
●
USBデバイスも、自動するぜ認識された気が…が…
●
https://tang.sipeed.com/en/getting-started/installing-td-ide/linux/
●
https://tang.sipeed.com/en/getting-started/installing-usb-driver/
linux/
●
FPGA開発に携わる。は年寄りだぜ\楽にで勝
●
IED(X WindowTD) 使って、える
●
ボードとUSB接続
●
LEDチカチカ (X Windowハードウェアに携わる。よる)は年寄りだぜ\、下記より引用解説の設計開発に携わる。とおりやる
●
https://tang.sipeed.com/en/getting-started/getting-to-blinky/
●
例題あり
●
LEDちかちか
●
Micro SDcard
●
LCD, Camera
●
組み込みみ込み みSD-RAM
●
Humming Bird コアをブロードキャストすると、消さなくても、さなくても、FPGA論理コストが高いマシンばをブロードキャストすると、試しています。せる
●
私は、ちょっとだけ試しています。は年寄りだぜ\、消さなくても、してしまった(X Window後にできる悔)
1st
イン情報アップデートとプレッション情報アップデートと
●
RISC-Vも楽にで勝でできる
●
Humming bird coreの設計開発に携わる。ソースをブロードキャストすると、 IDE である TD でシンセサ搭載イズ
●
TDから USBポート経由でダウンロード でダを見て、実メモリに展開 ウンロード & 実行
●
ROM上のの設計開発に携わる。RISC-V プログ用のラムなんか無くても、が実行される
ここまでまったく問題無くても、し\(X Window^^)/
1st
問題
●
JTAG I/F, OpenOCD が動するぜかない (X Window^^;
●
プリに展開 インストール機種もの設計開発に携わる。 Humming birdでも、ダを見て、実メモリに展開 メ
●
RISC-V プログ用のラムなんか無くても、の設計開発に携わる。書かれているき換えができないえができない
●
QSPI 0x200000 番から、新地
●
RISC-Vコアから、書かれているき直すす
●
OpenOCDが、うまく動するぜかない
●
QSPI 書かれているき換えができないえ
●
RAMなどへの設計開発に携わる。プログ用のラムなんか無くても、の設計開発に携わる。書かれているき込み み、実行ができない
●
Humming birdをブロードキャストすると、改造したらしたら
↓
●
新バージョン命令を試したいをブロードキャストすると、試しています。したい
↓
●
新バージョン命令を試したいの設計開発に携わる。入ったアプリに展開 ケーションをブロードキャストすると、実行したい
●
できませ〜ん ← イマココ \(X Window^^;/
●
Tang_E203_Mini-master/src/sirv_debug_rom.v に携わる。JTAG対応CPU内デバッデバッ
ガ
●
ソースは年寄りだぜ\
●
https://code.ihub.org.cn/projects/200/repository/revisions/master/
show/riscv-tools/riscv-isa-sim/debug_rom
以上の

More Related Content

What's hot

増え続ける情報に対応するためのFPGA基礎知識
増え続ける情報に対応するためのFPGA基礎知識増え続ける情報に対応するためのFPGA基礎知識
増え続ける情報に対応するためのFPGA基礎知識なおき きしだ
 
FPGAアクセラレータの作り方
FPGAアクセラレータの作り方FPGAアクセラレータの作り方
FPGAアクセラレータの作り方Mr. Vengineer
 
Pynqでカメラ画像をリアルタイムfastx コーナー検出
Pynqでカメラ画像をリアルタイムfastx コーナー検出Pynqでカメラ画像をリアルタイムfastx コーナー検出
Pynqでカメラ画像をリアルタイムfastx コーナー検出marsee101
 
PYNQ祭りLT todotani
PYNQ祭りLT todotaniPYNQ祭りLT todotani
PYNQ祭りLT todotaniKenshi Kamiya
 
研究者のための Python による FPGA 入門
研究者のための Python による FPGA 入門研究者のための Python による FPGA 入門
研究者のための Python による FPGA 入門ryos36
 
ラプラシアンフィルタをZedBoardで実装(ソフトウェアからハードウェアにオフロード)
ラプラシアンフィルタをZedBoardで実装(ソフトウェアからハードウェアにオフロード)ラプラシアンフィルタをZedBoardで実装(ソフトウェアからハードウェアにオフロード)
ラプラシアンフィルタをZedBoardで実装(ソフトウェアからハードウェアにオフロード)marsee101
 
2014 1018 OSC-Fall Tokyo NETMF
2014 1018 OSC-Fall Tokyo NETMF2014 1018 OSC-Fall Tokyo NETMF
2014 1018 OSC-Fall Tokyo NETMFAtomu Hidaka
 
SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」
SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」
SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」marsee101
 
Zynq MPSoC勉強会 Codec編
Zynq MPSoC勉強会 Codec編Zynq MPSoC勉強会 Codec編
Zynq MPSoC勉強会 Codec編Tetsuya Morizumi
 
FPGAスタートアップ資料
FPGAスタートアップ資料FPGAスタートアップ資料
FPGAスタートアップ資料marsee101
 
FPGAのトレンドをまとめてみた
FPGAのトレンドをまとめてみたFPGAのトレンドをまとめてみた
FPGAのトレンドをまとめてみたTakefumi MIYOSHI
 
ACRi_webinar_20220118_miyo
ACRi_webinar_20220118_miyoACRi_webinar_20220118_miyo
ACRi_webinar_20220118_miyoTakefumi MIYOSHI
 
M5StackをRustで動かす
M5StackをRustで動かすM5StackをRustで動かす
M5StackをRustで動かすKenta IDA
 

What's hot (20)

RISC-Vの可能性
RISC-Vの可能性RISC-Vの可能性
RISC-Vの可能性
 
増え続ける情報に対応するためのFPGA基礎知識
増え続ける情報に対応するためのFPGA基礎知識増え続ける情報に対応するためのFPGA基礎知識
増え続ける情報に対応するためのFPGA基礎知識
 
FPGAアクセラレータの作り方
FPGAアクセラレータの作り方FPGAアクセラレータの作り方
FPGAアクセラレータの作り方
 
Pynqでカメラ画像をリアルタイムfastx コーナー検出
Pynqでカメラ画像をリアルタイムfastx コーナー検出Pynqでカメラ画像をリアルタイムfastx コーナー検出
Pynqでカメラ画像をリアルタイムfastx コーナー検出
 
PYNQ祭りLT todotani
PYNQ祭りLT todotaniPYNQ祭りLT todotani
PYNQ祭りLT todotani
 
研究者のための Python による FPGA 入門
研究者のための Python による FPGA 入門研究者のための Python による FPGA 入門
研究者のための Python による FPGA 入門
 
Linux : PSCI
Linux : PSCILinux : PSCI
Linux : PSCI
 
ラプラシアンフィルタをZedBoardで実装(ソフトウェアからハードウェアにオフロード)
ラプラシアンフィルタをZedBoardで実装(ソフトウェアからハードウェアにオフロード)ラプラシアンフィルタをZedBoardで実装(ソフトウェアからハードウェアにオフロード)
ラプラシアンフィルタをZedBoardで実装(ソフトウェアからハードウェアにオフロード)
 
2014 1018 OSC-Fall Tokyo NETMF
2014 1018 OSC-Fall Tokyo NETMF2014 1018 OSC-Fall Tokyo NETMF
2014 1018 OSC-Fall Tokyo NETMF
 
SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」
SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」
SDSoC勉強会_170128_スライド「SDx 2016.3のプラグマによるハードウェアと性能」
 
Google 20130218
Google 20130218Google 20130218
Google 20130218
 
Zynq MPSoC勉強会 Codec編
Zynq MPSoC勉強会 Codec編Zynq MPSoC勉強会 Codec編
Zynq MPSoC勉強会 Codec編
 
FPGAスタートアップ資料
FPGAスタートアップ資料FPGAスタートアップ資料
FPGAスタートアップ資料
 
FPGAのトレンドをまとめてみた
FPGAのトレンドをまとめてみたFPGAのトレンドをまとめてみた
FPGAのトレンドをまとめてみた
 
ACRi_webinar_20220118_miyo
ACRi_webinar_20220118_miyoACRi_webinar_20220118_miyo
ACRi_webinar_20220118_miyo
 
FPGAって、何?
FPGAって、何?FPGAって、何?
FPGAって、何?
 
FPGA
FPGAFPGA
FPGA
 
PYNQ祭り
PYNQ祭りPYNQ祭り
PYNQ祭り
 
M5StackをRustで動かす
M5StackをRustで動かすM5StackをRustで動かす
M5StackをRustで動かす
 
Gpu vs fpga
Gpu vs fpgaGpu vs fpga
Gpu vs fpga
 

Similar to Riscv+fpga200606

mraa Introduction
mraa Introductionmraa Introduction
mraa IntroductionAraiInsde
 
Opa - Cloud Language
Opa - Cloud LanguageOpa - Cloud Language
Opa - Cloud LanguageTozo Tanaka
 
Singularityで分散深層学習
Singularityで分散深層学習Singularityで分散深層学習
Singularityで分散深層学習Hitoshi Sato
 
20220412 IoTLT vol86 kitazaki v1
20220412 IoTLT vol86 kitazaki v120220412 IoTLT vol86 kitazaki v1
20220412 IoTLT vol86 kitazaki v1Ayachika Kitazaki
 
スタート低レイヤー #0
スタート低レイヤー #0スタート低レイヤー #0
スタート低レイヤー #0Kiwamu Okabe
 
FPGA+SoC+Linux実践勉強会資料
FPGA+SoC+Linux実践勉強会資料FPGA+SoC+Linux実践勉強会資料
FPGA+SoC+Linux実践勉強会資料一路 川染
 
Sheepdogを使ってみて分かったこと(第六回ストレージ研究会発表資料)
Sheepdogを使ってみて分かったこと(第六回ストレージ研究会発表資料)Sheepdogを使ってみて分かったこと(第六回ストレージ研究会発表資料)
Sheepdogを使ってみて分かったこと(第六回ストレージ研究会発表資料)Masahiro Tsuji
 
Jenkins に XFD を追加してみると
Jenkins に XFD を追加してみるとJenkins に XFD を追加してみると
Jenkins に XFD を追加してみるとKiro Harada
 
FPGA, AI, エッジコンピューティング
FPGA, AI, エッジコンピューティングFPGA, AI, エッジコンピューティング
FPGA, AI, エッジコンピューティングHideo Terada
 
runC概要と使い方
runC概要と使い方runC概要と使い方
runC概要と使い方Yuji Oshima
 
FPGA・リコンフィギャラブルシステム研究の最新動向
FPGA・リコンフィギャラブルシステム研究の最新動向FPGA・リコンフィギャラブルシステム研究の最新動向
FPGA・リコンフィギャラブルシステム研究の最新動向Shinya Takamaeda-Y
 
openstack_neutron-dvr_os5thaniv_20150713
openstack_neutron-dvr_os5thaniv_20150713openstack_neutron-dvr_os5thaniv_20150713
openstack_neutron-dvr_os5thaniv_20150713Takehiro Kudou
 
PyCoRAMを用いたグラフ処理FPGAアクセラレータ
PyCoRAMを用いたグラフ処理FPGAアクセラレータPyCoRAMを用いたグラフ処理FPGAアクセラレータ
PyCoRAMを用いたグラフ処理FPGAアクセラレータShinya Takamaeda-Y
 
Fpga online seminar by fixstars (1st)
Fpga online seminar by fixstars (1st)Fpga online seminar by fixstars (1st)
Fpga online seminar by fixstars (1st)Fixstars Corporation
 
Xilinx SDSoC(2016.2)解体新書ソフトウェア編
Xilinx SDSoC(2016.2)解体新書ソフトウェア編Xilinx SDSoC(2016.2)解体新書ソフトウェア編
Xilinx SDSoC(2016.2)解体新書ソフトウェア編Mr. Vengineer
 

Similar to Riscv+fpga200606 (20)

mraa Introduction
mraa Introductionmraa Introduction
mraa Introduction
 
Pdp11 on-fpga
Pdp11 on-fpgaPdp11 on-fpga
Pdp11 on-fpga
 
Opa - Cloud Language
Opa - Cloud LanguageOpa - Cloud Language
Opa - Cloud Language
 
Fpgax20170924
Fpgax20170924Fpgax20170924
Fpgax20170924
 
Singularityで分散深層学習
Singularityで分散深層学習Singularityで分散深層学習
Singularityで分散深層学習
 
20220412 IoTLT vol86 kitazaki v1
20220412 IoTLT vol86 kitazaki v120220412 IoTLT vol86 kitazaki v1
20220412 IoTLT vol86 kitazaki v1
 
スタート低レイヤー #0
スタート低レイヤー #0スタート低レイヤー #0
スタート低レイヤー #0
 
FPGA+SoC+Linux実践勉強会資料
FPGA+SoC+Linux実践勉強会資料FPGA+SoC+Linux実践勉強会資料
FPGA+SoC+Linux実践勉強会資料
 
ドリコムのインフラCI
ドリコムのインフラCIドリコムのインフラCI
ドリコムのインフラCI
 
NetBSD/Zynq
NetBSD/ZynqNetBSD/Zynq
NetBSD/Zynq
 
Sheepdogを使ってみて分かったこと(第六回ストレージ研究会発表資料)
Sheepdogを使ってみて分かったこと(第六回ストレージ研究会発表資料)Sheepdogを使ってみて分かったこと(第六回ストレージ研究会発表資料)
Sheepdogを使ってみて分かったこと(第六回ストレージ研究会発表資料)
 
Jenkins に XFD を追加してみると
Jenkins に XFD を追加してみるとJenkins に XFD を追加してみると
Jenkins に XFD を追加してみると
 
FPGA, AI, エッジコンピューティング
FPGA, AI, エッジコンピューティングFPGA, AI, エッジコンピューティング
FPGA, AI, エッジコンピューティング
 
runC概要と使い方
runC概要と使い方runC概要と使い方
runC概要と使い方
 
FPGA・リコンフィギャラブルシステム研究の最新動向
FPGA・リコンフィギャラブルシステム研究の最新動向FPGA・リコンフィギャラブルシステム研究の最新動向
FPGA・リコンフィギャラブルシステム研究の最新動向
 
openstack_neutron-dvr_os5thaniv_20150713
openstack_neutron-dvr_os5thaniv_20150713openstack_neutron-dvr_os5thaniv_20150713
openstack_neutron-dvr_os5thaniv_20150713
 
PyCoRAMを用いたグラフ処理FPGAアクセラレータ
PyCoRAMを用いたグラフ処理FPGAアクセラレータPyCoRAMを用いたグラフ処理FPGAアクセラレータ
PyCoRAMを用いたグラフ処理FPGAアクセラレータ
 
Fpga online seminar by fixstars (1st)
Fpga online seminar by fixstars (1st)Fpga online seminar by fixstars (1st)
Fpga online seminar by fixstars (1st)
 
Intel graphics
Intel graphicsIntel graphics
Intel graphics
 
Xilinx SDSoC(2016.2)解体新書ソフトウェア編
Xilinx SDSoC(2016.2)解体新書ソフトウェア編Xilinx SDSoC(2016.2)解体新書ソフトウェア編
Xilinx SDSoC(2016.2)解体新書ソフトウェア編
 

More from たけおか しょうぞう

いにしえ的ななにか、カニか? RISC-V picoRV32, e203 改造 オレオレ命令追加した
いにしえ的ななにか、カニか? RISC-V picoRV32, e203 改造 オレオレ命令追加したいにしえ的ななにか、カニか? RISC-V picoRV32, e203 改造 オレオレ命令追加した
いにしえ的ななにか、カニか? RISC-V picoRV32, e203 改造 オレオレ命令追加したたけおか しょうぞう
 
俺ASICと俺CPU“松竹V(しょうちくぶい)”
俺ASICと俺CPU“松竹V(しょうちくぶい)”俺ASICと俺CPU“松竹V(しょうちくぶい)”
俺ASICと俺CPU“松竹V(しょうちくぶい)”たけおか しょうぞう
 
あるRISC-V CPUの 浮動小数点数(異常なし)
あるRISC-V CPUの 浮動小数点数(異常なし)あるRISC-V CPUの 浮動小数点数(異常なし)
あるRISC-V CPUの 浮動小数点数(異常なし)たけおか しょうぞう
 
どうして昔の人は八進数でしゃべるのか?
どうして昔の人は八進数でしゃべるのか?どうして昔の人は八進数でしゃべるのか?
どうして昔の人は八進数でしゃべるのか?たけおか しょうぞう
 
無脳スマートスピーカを 越えレレレのレ
無脳スマートスピーカを 越えレレレのレ無脳スマートスピーカを 越えレレレのレ
無脳スマートスピーカを 越えレレレのレたけおか しょうぞう
 
昔の外付けキャッシュ & コヒーレント・キャッシュ
昔の外付けキャッシュ & コヒーレント・キャッシュ昔の外付けキャッシュ & コヒーレント・キャッシュ
昔の外付けキャッシュ & コヒーレント・キャッシュたけおか しょうぞう
 
Lispマシン ドキュメント和訳とか、 ちびLispとか
Lispマシン ドキュメント和訳とか、 ちびLispとかLispマシン ドキュメント和訳とか、 ちびLispとか
Lispマシン ドキュメント和訳とか、 ちびLispとかたけおか しょうぞう
 

More from たけおか しょうぞう (20)

いにしえ的ななにか、カニか? RISC-V picoRV32, e203 改造 オレオレ命令追加した
いにしえ的ななにか、カニか? RISC-V picoRV32, e203 改造 オレオレ命令追加したいにしえ的ななにか、カニか? RISC-V picoRV32, e203 改造 オレオレ命令追加した
いにしえ的ななにか、カニか? RISC-V picoRV32, e203 改造 オレオレ命令追加した
 
SOAR:Smalltalk on RISC
SOAR:Smalltalk on RISCSOAR:Smalltalk on RISC
SOAR:Smalltalk on RISC
 
俺ASICと俺CPU“松竹V(しょうちくぶい)”
俺ASICと俺CPU“松竹V(しょうちくぶい)”俺ASICと俺CPU“松竹V(しょうちくぶい)”
俺ASICと俺CPU“松竹V(しょうちくぶい)”
 
あるRISC-V CPUの 浮動小数点数(異常なし)
あるRISC-V CPUの 浮動小数点数(異常なし)あるRISC-V CPUの 浮動小数点数(異常なし)
あるRISC-V CPUの 浮動小数点数(異常なし)
 
RISC-Vの可能性
RISC-Vの可能性RISC-Vの可能性
RISC-Vの可能性
 
どうして昔の人は八進数でしゃべるのか?
どうして昔の人は八進数でしゃべるのか?どうして昔の人は八進数でしゃべるのか?
どうして昔の人は八進数でしゃべるのか?
 
Takep lpc1114-190613
Takep lpc1114-190613Takep lpc1114-190613
Takep lpc1114-190613
 
初歩的な部品の知識
初歩的な部品の知識初歩的な部品の知識
初歩的な部品の知識
 
Takepino LPC1114
Takepino LPC1114Takepino LPC1114
Takepino LPC1114
 
Lisp_chibi_machine 190427
Lisp_chibi_machine 190427Lisp_chibi_machine 190427
Lisp_chibi_machine 190427
 
無脳スマートスピーカを 越えレレレのレ
無脳スマートスピーカを 越えレレレのレ無脳スマートスピーカを 越えレレレのレ
無脳スマートスピーカを 越えレレレのレ
 
Common Lisp ユーザへのScheme紹介
Common Lisp ユーザへのScheme紹介Common Lisp ユーザへのScheme紹介
Common Lisp ユーザへのScheme紹介
 
RISC-V User level ISA
RISC-V User level ISARISC-V User level ISA
RISC-V User level ISA
 
Lispマシン・シミュレータの紹介
Lispマシン・シミュレータの紹介Lispマシン・シミュレータの紹介
Lispマシン・シミュレータの紹介
 
昔の外付けキャッシュ & コヒーレント・キャッシュ
昔の外付けキャッシュ & コヒーレント・キャッシュ昔の外付けキャッシュ & コヒーレント・キャッシュ
昔の外付けキャッシュ & コヒーレント・キャッシュ
 
Ichigojam ossc-170819
Ichigojam ossc-170819Ichigojam ossc-170819
Ichigojam ossc-170819
 
大昔のMMU
大昔のMMU大昔のMMU
大昔のMMU
 
Lispマシン ドキュメント和訳とか、 ちびLispとか
Lispマシン ドキュメント和訳とか、 ちびLispとかLispマシン ドキュメント和訳とか、 ちびLispとか
Lispマシン ドキュメント和訳とか、 ちびLispとか
 
Mt basic as-os_on_danbot
Mt basic as-os_on_danbotMt basic as-os_on_danbot
Mt basic as-os_on_danbot
 
Axeai 170421
Axeai 170421Axeai 170421
Axeai 170421
 

Riscv+fpga200606

  • 2. 2 たけおか は年寄りだぜ\年寄りだぜ\りだぜ\(^^;/ 1988年、国産シンクライアントシンクライアント(X WindowX Window端末”XMiNT”)の設計開発に携わる。設計開発に携わる。に携わる。携わる。わる。 ● 独自OS + TCP/IPスタックの設計開発に携わる。独自実装 + X Window Server ● Network boot した ● PXEなに携わる。それ? ● RARP (X WindowReverse ARP, RFC 903) で IPアドレス取得 ● MACアドレスをブロードキャストすると、ブロードキャストすると、IPアドレスをブロードキャストすると、教えてくれる人がいるえてくれる人がいるがいる ● dhcpは年寄りだぜ\、ず〜〜〜っと後にできるに携わる。できる ● (X WindowXMintの設計開発に携わる。後にできる追いライバル機種もいライバル機種も機種ももRARPをブロードキャストすると、やっておらず、管理コストが高いマシンばコストが高いマシンばいマシンば かりであった) ● tftpでファイル機種も・ロード ● ロードするファイル機種もは年寄りだぜ\、ar アーカイブ。a.out ヘッダを見て、実メモリに展開 をブロードキャストすると、見て、実メモリに展開 て、実メモリに展開 に携わる。展開 & jump ● ファイル機種も・システムなんか無くても、なんか無くても、くても、boot&起動するぜするぜ(X Window端末なの設計開発に携わる。で、そらそやろ) ● 1986年〜当時、Sun (X WindowSun2, Sun3) がNetwork bootできた ● ND(X WindowNet Disk, NFSでは年寄りだぜ\ない)などが必要で、ほぼ独自だったで、ほぼ独自だった独自だった
  • 4. RISC-Vマイコン情報アップデートとボード Sipeed Maixduino Arduino Uno R3フォームなんか無くても、 ファクタ K210 SoC + ESP32 HiFive1 Rev B Si Fiveの設計開発に携わる。Arduino フォームなんか無くても、ファクタ・ボード 新バージョンバージョン Tang Primer FPGA,EG4S20 (X Windowsipeed tang Primer FPGA) あらかじめ RISC-Vが焼かれているかれている Humming bird E203 core Verilogで書かれているかれている Sipeed Longan Nano RISC-V  GD32VF103CBT6開発ボード開発に携わる。ボード
  • 5. ● Arduino Uno R3フォームなんか無くても、ファクタ ● 「Sipeed M1w dock suit」と似ていると似ているている ● K210 SoC + ESP32 ● 2.4インチLCDとカメラモジュール機種も付きき ● I2Sデジタル機種も出力 無くても、指向性MEMSマイク搭載 ● DVPカメラ用24 P/0.5 mm FPCコネクタ ● 8 bit MCU LCD用24 P/0.5 mm FPCコネクタ ● microSDカードスロット搭載 ● 3 W DAC+PAオーディオ出力搭載 ● 音声認識用の設計開発に携わる。高いマシンば性能マイクアレイプロセッサ搭載マイクアレイプロセッサ搭載搭載 ● MaixPy IDE/Arduino IDE/OpenMV IDE/PlatformIO IDEで開発に携わる。可能マイクアレイプロセッサ搭載 ● ディープラーニング用の用の設計開発に携わる。Tiny-Yolo/Mobilenet/TensorFlow Liteが利用可能マイクアレイプロセッサ搭載 ● スイッチサ搭載イエンスで 3960円 ● https://www.switch-science.com/catalog/5707/ ● 千石電商 ● https://www.sengoku.co.jp/mod/sgk_cart/detail.php?code=EEHD-5JE6 Sipeed の K210 AIマイコン情報アップデートとボード・シリーズ https://jp.seeedstudio.com/sipeed-maix.html Sipeed Maixduino
  • 6. HiFive1 Rev.B Si Fiveの設計開発に携わる。Arduino フォームなんか無くても、ファクタ・ ボードの設計開発に携わる。新バージョンバージョン (X Window廃番から、新から、新バージョンSoCで復活) SoC: FE310-G002 入力電圧: 5 V USB or 7-12 VDC Jack 動するぜ作電圧L 3.3 V and 1.8 V IO 電圧:3.3 V Digital I/O : 19 PWM : 9 SPI Controllers/HW CS : 1/3 UART :2 I2C :1 外部割り込み り込み み : 19 外部 ウエイクアップ : 1 Flash Memory: 32 Mbit Off-Chip (X WindowISSI SPI Flash) Host Interface : microUSB Debug: Segger J-Link, 重量: 22 g FE310-G002 1× E31 RISC‐V cores machine and user mode, 16 KiB 2-way L1 I-cache 16 KiB data tightly integrated memory (DTIM). https://www.sifive.com/boards/hifive1-rev-b https://sifive.cdn.prismic.io/sifive%2F9ecbb623-7c7f-4acc-966f-9bb10ecdb62e_fe310-g002.pdf
  • 7. https://www.seeedstudio.com/Sipeed-Longan-Nano-RISC-V- GD32VF103CBT6-Development-Board-p-4205.html http://akizukidenshi.com/catalog/g/gK-14678/ Sipeed Longan Nano RISC-V Sipeed Longan Nano RISC-V  GD32VF103CBT6開発ボード開発に携わる。ボード 秋月で¥で¥830円 メモリに展開 :128KB Flash/32KB SRAM 中華 RISC-V ST Microの設計開発に携わる。 STM32 に携わる。近づけてあるづけてある カラー液晶付きき 統合環境 VSCode で開発に携わる。できる これは年寄りだぜ\、ライブラリに展開 をブロードキャストすると、使って、って、Micro SDCard上のの設計開発に携わる。FAT FSの設計開発に携わる。読み書きも楽にでみ書かれているきも楽にでに携わる。で きます。私は、ちょっとだけ試しています。は年寄りだぜ\、ちょっとだけ試しています。しています。
  • 8. Tang Primer FPGA EG4S20 , Tang Primer FPGA (X Windowsipeed tang Primer FPGA) あらかじめ RISC-Vが焼かれているかれている Humming bird E203 core Verilogで書かれているかれている 秋月で¥で¥2,580円 http://akizukidenshi.com/catalog/g/gM-14786/ スイッチサ搭載イエンス ¥2,662 円 https://www.switch-science.com/catalog/5703/ Sipeed ¥1,918円 (X Window国際宅配便 料金が必要が必要で、ほぼ独自だった) https://jp.seeedstudio.com/Sipeed-TANG-PriMER-FPGA-Development- Board-p-2881.html
  • 10. Tang Primer FPGA 参考URL Humming bird FPGA ソース https://github.com/SI-RISCV/e200_opensource ● 開発に携わる。方法 https://tang.sipeed.com/en/getting-started/requirements/ ● ボード製品ページページ https://dl.sipeed.com/TANG/Primer/IDE/ ● 開発に携わる。環境は年寄りだぜ\これがいい! https://dl.sipeed.com/TANG/Primer/IDE/TD1909_linux.rar ● Humming bird core解説: https://content.riscv.org/wp-content/uploads/2018/07/Shanghai -1110_HummingBirdE200forShanghaiDay_v1.pdf
  • 11. Humming bird E203 core ● 2段 パイプラインパイプライン ● RV32IMAC 命令セットセット ● 面積と消費電力のライバルはと消費電力のライバルは消費電力のライバルはのライバルはライバルはは Cortex M0/M0+/M3 ● 下記を統合を統合統合 ● ITCM (Instruction Tightly Coupled Memory) ● DTCM (Data Tightly Coupled Memory) ● Github上でオープンソースでオープンソースオープンソース 下記より引用解説より引用解説: https://content.riscv.org/wp-content/uploads/2018/07/Shanghai- 1110_HummingBirdE200forShanghaiDay_v1.pdf Hummingbird Ultra-Low-Power 32bits RISC-V Processor Core 応用分野 • General or specified MCU core • Mix-signal Chip controller core • SoC controller core
  • 12. Humming bird E203 core 下記より引用解説より引用: https://content.riscv.org/wp-content/uploads/2018/07/Shanghai- 1110_HummingBirdE200forShanghaiDay_v1.pdf
  • 13. ITCM, DTCM ITCM (Instruction Tightly Coupled Memory) DTCM (Data Tightly Coupled Memory) ● 高速SRAM ● CPU Coreのライバルはすぐそば ● 組込みだと、みだと消費電力のライバルは、DTCMは常に同じデータを置くと楽に同じデータを置くと楽同じデータを置くと楽じデータを置くと楽データを置くと楽を統合置くと楽くと消費電力のライバルは楽 ● ICTM 、iキャッシュに比べると大変 残念に同じデータを置くと楽比べると大変 残念べると消費電力のライバルは大変 パイプライン残念 ● 命令セットコードをコピーして使うを統合コピーして使う使うう ● 中心ループを持ってくればよいルはープを統合持ってくればよいって使うくればよい ● ESP32 が、icacheと消費電力のライバルは言っているが…って使ういるが…
  • 14. ITCM ITCM (Instruction Tightly Coupled Memory) 高速SRAM ● ICTM 、iキャッシュに比べると大変 残念に同じデータを置くと楽比べると大変 残念べると消費電力のライバルは大変 パイプライン残念 ● 命令セットコードをコピーして使うを統合コピーして使う使うう ● 中心ループを持ってくればよいルはープを統合持ってくればよいって使うくればよい ● ESP32 が、icacheと消費電力のライバルは言っているが…って使ういるが… ● longjmp()でオープンソースきない ● ICTMに同じデータを置くと楽入っていないところへは、って使ういないと消費電力のライバルはころへは、longjmp()でオープンソースきない… パイプライン残念…
  • 15. セグメン情報アップデートとトと・ローダ ● DEC PDP-11の設計開発に携わる。 RSX-11 ● PDP-11 は年寄りだぜ\、論理コストが高いマシンば空間 64KB (X Window16bit) ● プログ用のラムなんか無くても、をブロードキャストすると、小さなセグメントに分割できたさなセグ用のメントに携わる。分割り込み できた ● セグ用のメントをブロードキャストすると、超えたサブルーチン呼び出しは、えたサ搭載ブル機種もーチン呼び出しは、び出しは、出しは年寄りだぜ\、 ● セグ用のメント・ローダを見て、実メモリに展開 をブロードキャストすると、通して呼び出したして呼び出しは、び出しは、出した ● セグ用のメント・ローダを見て、実メモリに展開 : OS or ランタイムなんか無くても、・ル機種もーチン ● 実メモリに展開 上のに携わる。無くても、いセグ用のメントは年寄りだぜ\、ロード後にできるに携わる。呼び出しは、び出しは、出し ● 実メモリに展開 に携わる。空きが無くても、ければ、不要で、ほぼ独自だったセグ用のメントをブロードキャストすると、廃棄 ● セグ用のメント間の設計開発に携わる。依存関係は、は年寄りだぜ\、link ディレクティブ・ファイル機種もに携わる。書かれているける
  • 18. Tang Primer FPGA 参考URL ● 開発に携わる。方法 https://tang.sipeed.com/en/getting-started/requirements/ ● Anlogic FPGA EG4S20 Datasheet ● https://github.com/kprasadvnsi/Anlogic_Doc_English ● ボード製品ページページ https://dl.sipeed.com/TANG/Primer/IDE/ ● 開発に携わる。環境は年寄りだぜ\これがいい! ● TD_RELEASE_September2019_r4.6.2 https://dl.sipeed.com/TANG/Primer/IDE/TD1909_linux.rar ● FPGA 例題 https://github.com/Lichee-Pi/Tang_FPGA_Examples
  • 19. Tang PrimerのRISC-V 参考URL ● Humming bird FPGA ソース https://github.com/SI-RISCV/e200_opensource https://code.ihub.org.cn/projects/200/repository/e200_opensource/re visions/master/show ● Humming bird core解説: https://content.riscv.org/wp-content/uploads/2018/07/Shanghai- 1110_HummingBirdE200forShanghaiDay_v1.pdf ● Humming bird(X WindowRISC-V) 用 開発に携わる。環境 https://dl.sipeed.com/TANG/Primer/SDK Gnu Tool chain, Gcc, binutils ● OpenOCD ● これでいいの設計開発に携わる。か、確信なし…なし… https://github.com/Lichee-Pi/LicheeTang_openocd
  • 22. 1st イン情報アップデートとプレッション情報アップデートと ● 電源を入れると、をブロードキャストすると、入れると、RISC-Vが動するぜ作 ● Haummin bird , e203 コア ● 起動するぜメッセージがシリに展開 アル機種も・ポートに携わる。出る ● LEDの設計開発に携わる。色が変わっていくが変わっていくわっていく ● シリに展開 アル機種も・ポートは年寄りだぜ\ J13:TX, H13: RX ● IDEは年寄りだぜ\中華製だが、情報漏えいはないかえいは年寄りだぜ\ないか? ● 仮想マシンマシン(X WindowVMware, VirtualBox)の設計開発に携わる。Linuxで使って、う。ネットワークは年寄りだぜ\最低 限 ● 他の、情報は入れないの設計開発に携わる。、情報は年寄りだぜ\入れない ● ネットワーク・パケット監視、フィル機種もタリに展開 ング用の ● 慣れていれば、難しくはないれていれば、難しくはないしくは年寄りだぜ\ない
  • 23. 1st イン情報アップデートとプレッション情報アップデートと ● IDEは年寄りだぜ\Ubuntu Linux 18.4 LTSで、すぐ動く動するぜく ● USBデバイスも、自動するぜ認識された気が…が… ● https://tang.sipeed.com/en/getting-started/installing-td-ide/linux/ ● https://tang.sipeed.com/en/getting-started/installing-usb-driver/ linux/ ● FPGA開発に携わる。は年寄りだぜ\楽にで勝 ● IED(X WindowTD) 使って、える ● ボードとUSB接続 ● LEDチカチカ (X Windowハードウェアに携わる。よる)は年寄りだぜ\、下記より引用解説の設計開発に携わる。とおりやる ● https://tang.sipeed.com/en/getting-started/getting-to-blinky/ ● 例題あり ● LEDちかちか ● Micro SDcard ● LCD, Camera ● 組み込みみ込み みSD-RAM ● Humming Bird コアをブロードキャストすると、消さなくても、さなくても、FPGA論理コストが高いマシンばをブロードキャストすると、試しています。せる ● 私は、ちょっとだけ試しています。は年寄りだぜ\、消さなくても、してしまった(X Window後にできる悔)
  • 24. 1st イン情報アップデートとプレッション情報アップデートと ● RISC-Vも楽にで勝でできる ● Humming bird coreの設計開発に携わる。ソースをブロードキャストすると、 IDE である TD でシンセサ搭載イズ ● TDから USBポート経由でダウンロード でダを見て、実メモリに展開 ウンロード & 実行 ● ROM上のの設計開発に携わる。RISC-V プログ用のラムなんか無くても、が実行される ここまでまったく問題無くても、し\(X Window^^)/
  • 25. 1st 問題 ● JTAG I/F, OpenOCD が動するぜかない (X Window^^; ● プリに展開 インストール機種もの設計開発に携わる。 Humming birdでも、ダを見て、実メモリに展開 メ ● RISC-V プログ用のラムなんか無くても、の設計開発に携わる。書かれているき換えができないえができない ● QSPI 0x200000 番から、新地 ● RISC-Vコアから、書かれているき直すす ● OpenOCDが、うまく動するぜかない ● QSPI 書かれているき換えができないえ ● RAMなどへの設計開発に携わる。プログ用のラムなんか無くても、の設計開発に携わる。書かれているき込み み、実行ができない ● Humming birdをブロードキャストすると、改造したらしたら ↓ ● 新バージョン命令を試したいをブロードキャストすると、試しています。したい ↓ ● 新バージョン命令を試したいの設計開発に携わる。入ったアプリに展開 ケーションをブロードキャストすると、実行したい ● できませ〜ん ← イマココ \(X Window^^;/ ● Tang_E203_Mini-master/src/sirv_debug_rom.v に携わる。JTAG対応CPU内デバッデバッ ガ ● ソースは年寄りだぜ\ ● https://code.ihub.org.cn/projects/200/repository/revisions/master/ show/riscv-tools/riscv-isa-sim/debug_rom